组合逻辑电路.pptx

上传人:莉*** 文档编号:74018720 上传时间:2023-02-24 格式:PPTX 页数:94 大小:1.37MB
返回 下载 相关 举报
组合逻辑电路.pptx_第1页
第1页 / 共94页
组合逻辑电路.pptx_第2页
第2页 / 共94页
点击查看更多>>
资源描述

《组合逻辑电路.pptx》由会员分享,可在线阅读,更多相关《组合逻辑电路.pptx(94页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、第三章 组合逻辑电路 电路结构的特点 组合电路是由常用门电路组合而成的,其中既无从输出到输入的反馈连接,也不包含可以存储信号的记忆元件第1页/共94页第三章 组合逻辑电路 组合逻辑电路功能的表示方法 真值表、卡诺图、逻辑表达式、逻辑图组合电路的分类 1.按照逻辑功能特点不同划分:加法器、比较器、编码器、译码器、数据选择器和分配器、只读存储器。实现各种逻辑功能的组合电路是五花八门,不胜枚举,重要的是通过一些典型电路的分析和设计,弄清基本概念,掌握基本方法。2.按照使用基本开关元件不同,有TTL、CMOS 等类型,按集成度不同分为SSI、MSI、LSI、VLSI。第2页/共94页3.1 组合逻辑电

2、路的分析方法和设计方法组合逻辑电路的基本分析方法 一、组合逻辑电路的分析已知逻辑电路写出逻辑函数写出函数的真值表分析逻辑功能分析方法例3.1第3页/共94页组合逻辑电路的基本设计方法 组合逻辑电路的一般设计方法已知逻辑问题写出逻辑函数写出函数的真值表画出逻辑电路电路装配调试 逻辑抽象的工作可以这样来进行:分析问题的因果关系,确定输入变量和输出变量。定义逻辑状态的含意,以0,1分别代表变量的两种取值状态。根据给定的因果关系列出真值表进而写出逻辑函数表达式。例3.2:第4页/共94页常用MSI组合逻辑器件 在社会实践过程,人们为解决实际逻辑问题而设计的逻辑电路不胜枚举,但其中有些逻辑电路经常、大量

3、地在各种数字系统中。本节我们用所学的组合逻辑电路分析与设计的基本思想和方法来认识一些典型的组合逻辑单元电路;这些单元电路通常被制作成中规模集成(MSI)电路,以便于我们在数字系统中广泛的应用;所谓中规模集成电路是在一块半导体芯片上同时制作10100个等效门,并在内部把这些门互相连接起来,形成具有一定功能的逻辑电路;第5页/共94页 用用MSI电路构成数字系统,具有体积小、耗电电路构成数字系统,具有体积小、耗电省、工作可靠、成本低、设计容易等优点;省、工作可靠、成本低、设计容易等优点;常用的常用的MSI组合逻辑电路(器件)有:组合逻辑电路(器件)有:B 编码和译码电路;B 代码转换电路;B 数值

4、比较电路;B 数据选择与分配电路;B 基本运算电路;常用MSI组合逻辑器件第6页/共94页1通用性:电路即能用于数字计算机,又能用于控制系统、数字仪表等,其功能往往超过本身名称所表示的功能。2能自扩展:器件通常设置一些控制端(使能端)、功能端和级联端等,在不用或少用附加电路的情况下,能将若干功能部件扩展成位数更多、功能更复杂的电路。3电路内部一般设置有缓冲门,需要用到的互补信号均能在内部产生,这样减少了外围辅助电路和封装引脚,使电路简单中规模集成逻辑器件的特点第7页/共94页3.2 编码器与译码器把二进制码按一定的规律编排,使每组代码具有一特定的含义称为编码。如:8421BCD码中,用1000

5、表示数字8,ASCII码中,用1000001表示字母A等具有编码功能的逻辑电路称为编码器。例如:键控8421BCD码编码器、8/3 线优先编码器等。编码器的逻辑功能:能将每一个编码输入信号变换为不同的二进制的代码输出。如BCD编码器:将10个编码输入信号分别编成10个4位码输出。如8线-3线编码器:将8个输入的信号分别编成 8个3位二进制数码输出。第8页/共94页N位二进制编码器的结构框图一、二进制编码器的工作原理 I0 I1 Yn-1 Y0 Y1 1n2-I二进制 编码器 2n个 输入 n 位二进制码输出 7种情况需几位二进制码表示?9种呢?第9页/共94页8线3线二进制编码器1.三位二进制

6、编码器的设计 有八个输入端,三个输出端,在编码器的任何时刻,只能对一个输入信号进行编码,即不允许有两个和两个以上输入信号同时存在的情况出现。即输入变量是一组相互排斥的变量。真值表、表达式、逻辑图结论:普通编码器不能同时输入两个已上的有效编码信号,否则编码器输出发生混乱。第10页/共94页优先编码器 优先编码器的提出:实际应用中,经常有两个或更多输入编码信号同时有效 必须根据轻重缓急,规定好这些外设允许操作的先后次序,即优先级别 识别多个编码请求信号的优先级别,并进行相应编码的逻辑部件称为优先编码器。普通编码器:任何时候只允许输入一个有效编码信号,否则输出就会发生混乱。优先编码器:允许同时输入两

7、个以上的有效编码信号。当同时输入几个有效编码信号时,优先编码器能按预先设定的优先级别,只对其中优先权最高的一个进行编码。第11页/共94页优先编码器芯片(74LS148)芯片引脚图:图3.4引脚功能说明(P50)解释:输入、输出变量取反的区别 输出端加小圆圈表示对输出变量取其反变量。对输入端加小圆圈并不表示对输出变量取其反变量,而是表示低电平有效。不加表示高电平有效。从编码器的输入端来看,若加小圆圈,表示低电平要求编码。第12页/共94页2.芯片功能(P50)第13页/共94页 74LS148的逻辑功能描述:(1)编码输入端:逻辑符号输入端 上面均有“”号,这表示编码输入低电平有效。I0I7低

8、电平有效 允许编码,但无有效编码请求优先权最高第14页/共94页(2)编码输出端:从功能表可以看出,74LS148编码器的编码输出是反码。Y2.Y1.Y0 第15页/共94页问:电路是否有错?编码电路的设计举例第16页/共94页注意:多余输入端引脚的处理,注意于基本与、或、非门处理的不同编码电路第17页/共94页应用:16线4线优先编码器(图3.5,有问题,修改)问:没有编码输入时,对应的输出是什么?若A01,其它输入为0,对应的输入是多少?若输入为A15A80,A7A6A31,其它为0,输出是什么?若A151,输出是什么?第18页/共94页集成编码器CD4532EI:使能输入端,EI0,禁止

9、编码,此时无论输入I0I7为何种状态,输出Y2Y0000,EI1,允许编码EO:使能输出端,(对于Ys)GS:扩展输出端(对于Yex)第19页/共94页CD4532电路图第20页/共94页 优先编码器CD4532功能表输 入输 出EII7I6I5I4I3I2I1I0Y2Y1Y0GSEOLLLLLLHLLLLLLLLLLLLHHHHHHHLHLHHHLHLHLLHHLHHLHLLLHHLLHLHLLLLHLHHHLHLLLLLHLHLHLHLLLLLLHLLHHLHLLLLLLLHLLLHL为什么要设计GS、EO输出信号?扩展第21页/共94页用二片CD4532构成16线-4线优先编码器,其逻辑

10、图如下图所示,试分析其工作原理。问:没有编码输入时,对应的输出是什么?若A01,其它输入为0,对应的输入是多少?若输入为A15A80,A7A6A31,其它为0,输出是什么?若A151,输出是什么?第22页/共94页二十进制编码器一、二十进制编码器1.编码表2.表达式、逻辑图二、二十进制优先编码器1.编码表2.表达式、逻辑图第23页/共94页二十进制集成编码器(74LS147)1.1.芯片引脚图、逻辑功能芯片引脚图、逻辑功能2.2.芯片功能芯片功能74LS14774LS147优先编码器功能表优先编码器功能表1 1 1 10 1 1 00 1 1 1 1 0 0 01 0 0 11 0 1 01

11、0 1 1 1 1 0 01 1 0 11 1 1 01 1 1 1 1 1 1 1 10 X X X X X X X X1 0 X X X X X X X1 1 0 X X X X X X1 1 1 0 X X X X X1 1 1 1 0 X X X X 1 1 1 1 1 0 X X X 1 1 1 1 1 1 0 X X1 1 1 1 1 1 1 0 X1 1 1 1 1 1 1 1 0 D C B AI9 I8 I7 I6 I5 I4 I3 I2 I1输 出输 入74LS147编码器的逻辑图 第24页/共94页(按特定含义:规则、顺序)二进制代码某种代码译 码编 码编码器译码器译码器

12、译码是编码的逆过程第25页/共94页译码器的分类 译码:译码是编码的逆过程,它能将二进制码翻译成代表某一特定含义的信号.(即电路的某种状态)译码器的概念与分类译码器:具有译码功能的逻辑电路称为译码器。常用的译码器有二进制译码器、二-十进制译码器和显示译码器等。第26页/共94页 例:一个简单的两位二进制代码的译码器。输入是一组两位二进制代码AB,输出是与代码状态相对应的4个信号Y3Y2Y1Y0。输输 入入输输 出出A BY3 Y2 Y1 Y00 00 11 01 10 0 0 10 0 1 00 1 0 01 0 0 0译码器的真值表第27页/共94页三位二进制译码器1.真值表2.表达式(要记

13、住),注意与最小项的联系,即可以用译码器产生逻辑函数。3.逻辑图总结:二进制译码器是把二进制代码的所有组合状态都翻译出来的电路。如果输入信号有n 位二进制代码,输出信号为m个,m=2n。第28页/共94页集成3线8线译码器(74LS138)在在S S1 1=1=1,=0=0时,输出信号时,输出信号 才取决于输入信号才取决于输入信号A A2.2.A A1.1.A A0 0的组的组合。合。当当S S1 1=0=0时,无论其他输入信号时,无论其他输入信号是什么,输出都是高电平,即无效是什么,输出都是高电平,即无效信号。信号。为高电平时,输出也都是无效信号。1.1.引脚图引脚图2.2.逻辑框图逻辑框图

14、低电平有效输出三位二进制代码使能端第29页/共94页 芯片功能(真值表)译中为0高电平有效低电平有效禁止译码译码工作问:写出Y0Y7的逻辑表达式?第30页/共94页 74138的表达式 第31页/共94页由真值表写表达式举例A B C0 0 0 00 0 100 1 000 1 101 0 001 0 101 1 001 1 11练习一:真值表如下所示,请写出Y和Y非的逻辑表达式第32页/共94页由真值表写表达式举例0 0 0 00 0 100 1 000 1 101 0 001 0 101 1 001 1 11练习二:真值表如下所示,请写出Y和Y非的逻辑表达式第33页/共94页由真值表写表达

15、式举例0 0 0 10 0 110 1 010 1 111 0 011 0 111 1 011 1 10练习三:真值表如下所示,请写出Y和Y非的逻辑表达式第34页/共94页1.单片74LS138的应用2.实现逻辑函数(例 3.4)芯片应用第35页/共94页 例 用全译码器实现逻辑函数 解:(1)全译码器的输出为输入变量的相应最小项之非,故先将逻辑函数式 f 写成最小项之反的形式。由摩根定理(2)f 有三个变量,因而选用三变量译码器。(3)变量C、B、A 分别接三变量译码器的C、B、A 端,则上式变为:74LS138 3-8译码器应用实现逻辑函数第36页/共94页用三变量译码器74LS138实现

16、以上函数的逻辑图。第37页/共94页芯片应用例 3.5:用两片3-8线译码器74LS138构成4-16线译码器第38页/共94页 二十进制译码器(四线十线)将将4 4位二位二十进制代码翻译成十进制代码翻译成1 1位十进制数字的电路位十进制数字的电路就是二就是二十进制译码器,又称为十进制译码器,又称为BCDBCD十进制译码器。十进制译码器。1.1.真值表真值表 2.2.表达式、逻辑图表达式、逻辑图第39页/共94页集成四线十线译码器(74LS42)1.二十进制译码器的逻辑图第40页/共94页 数字数字输输 入入输 出A3A2A1A001234567890 0 0 00 0 0 10 0 1 00

17、 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 10 1 1 1 1 1 1 1 1 11 0 1 1 1 1 1 1 1 11 1 0 1 1 1 1 1 1 11 1 1 0 1 1 1 1 1 11 1 1 1 0 1 1 1 1 11 1 1 1 1 0 1 1 1 11 1 1 1 1 1 0 1 1 11 1 1 1 1 1 1 0 1 11 1 1 1 1 1 1 1 0 11 1 1 1 1 1 1 1 1 0无无效效1 0 1 01 0 1 01 0 1 11 0 1 11 1 0 01 1 0 01 1 0 11 1 0 11

18、1 1 01 1 1 01 1 1 11 1 1 11 1 1 1 1 1 1 1 1 11 1 1 1 1 1 1 1 1 11 1 1 1 1 1 1 1 1 11 1 1 1 1 1 1 1 1 11 1 1 1 1 1 1 1 1 11 1 1 1 1 1 1 1 1 11 1 1 1 1 1 1 1 1 11 1 1 1 1 1 1 1 1 11 1 1 1 1 1 1 1 1 11 1 1 1 1 1 1 1 1 11 1 1 1 1 1 1 1 1 11 1 1 1 1 1 1 1 1 12.74LS42译码器功能表Y Y0 0 Y Y1 1 Y Y2 2 Y Y3 3 Y Y4

19、 4 Y Y5 5 Y Y6 6 Y Y7 7 Y Y8 8 Y Y9 9第41页/共94页 例3-6:代码转换电路:代码转换电路可实现各种码制之间的转换。格雷码(循环码)特点:任意两个相邻的代码仅有一位不同,其余各位均相同。故可有效避免电路状态或输出出错。余3循环码:因为余3循环码比一般循环码从0000开始计数的状态多3,所以称这种编码为余3循环码.例如表中余3循环码的0(编码为0010)正好是一般循环码(格雷码)的3,余3循环码的1(编码为0110)正好是循环码(格雷码)4,等等.余3循环码是一种变权码,也就是说,编码中每一位的1并不代表固定的数值.第42页/共94页 84218421码码

20、余余3 3码码格雷码格雷码余余3 3循环码循环码000000000011001100000000001000100001000101000100000100010110011000100010010101010011001101110111001100110110011000100010010101010100010001110111011001100100010001010101100010000111011111001100011001101001100101010101110111010111011110101010010001001111111110001000101110111100

21、11001110111010011001110011001101110110101010第43页/共94页三、数字显示译码器(1)七段数码显示器(数码管)每一段由一个发光二极管组成(2)共阴和共阳数码管第44页/共94页 七段LED(Light Emitting Diode)数码显示器的显示原理:cabdefgdp a b c d e f gGNDGNDdp共阴极共阴极aR 8bcdefgdpVccVcc第45页/共94页 c d eGNDdpabcdefdp a b f gGNDR=1K5V直流电源cabdefgdp a b c d e f gGNDGNDdp问:采用共阳还是共阴数码管?第4

22、6页/共94页 c d eGNDdpabcdefdp a b f gGNDR=1Kcabdefgdp a b c d e f gGNDGNDdp5V直流电源显示数字1 1第47页/共94页R5V直流电源RR显示数字2 2 g f a b e d c dpcabdefgdpcabdefgdp a b c d e f gGNDGNDdp第48页/共94页R5V直流电源RRR显示数字3 3 g f a b e d c dpcabdefgdpcabdefgdp a b c d e f gGNDGNDdp第49页/共94页七段数码管译码电路译码器输出:译码结果,可驱动相应的七段数码管显示出正确的数字输入

23、:二十进制代码电路功能:能将输入的二进制数,在数码管上显示其对应的十进制数第50页/共94页显示译码器 显示译码器希望实现输入二进制数,输出对应的十进制数值。1.真值表 (表3.8)2.译码芯片(7447)1)引脚图 2)引脚功能第51页/共94页 1111111111111111灭零1 1 1 1 1 1 100 0 0 01 00测试0 0 0 0 0 0 01 0 1=0熄灭1 1 1 1 1 1 10 0“0”“1”“2”“3”“4”“5”“6”“7”“8”“9”0 0 0 0 0 0 11 0 0 1 1 1 10 0 1 0 0 1 00 0 0 0 1 1 01 0 0 1 1

24、0 00 1 0 0 1 0 01 1 0 0 0 0 00 0 0 1 1 1 10 0 0 0 0 0 00 0 0 1 1 0 01 1 1 0 0 1 01 1 0 0 1 1 01 0 1 1 1 0 00 1 1 0 1 0 01 1 1 0 0 0 01 1 1 1 1 1 10 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 11 11 1 1 1 1 1 1 1 1 1 1 1 1 1 1 012345678

25、9101112131415a b c d e f gD C B A说明输出输入问:采用7447译码,数码管应选用共阴还是共阳接法?第52页/共94页集成译码器(74LS48)1.引脚图、逻辑框图第53页/共94页7448的真值表问:采用7448译码,数码管应选用共阴还是共阳接法?第54页/共94页译码输入端:D、C、B、A,为8421BCD码;七段代码输出端:abcdefg,某段输出为高电平时该段点亮,用以驱动低电平有效的七段显示LED数码管(共阳极);控制端:试灯输入端 、灭零输入端 、灭灯输入/灭零输出端 ;当 LT =1时,译码器处于正常译码工作状态;若 =0,不管D、C、B、A输入什么

26、信号,译码器各输出端均为低电平,处于灭灯状态。RBI为灭零输入端,将数码管显示的0熄灭。利用上述信号,可以控制数码管按照要求处于显示或者灭灯状态,如闪烁、熄灭首尾部多余的0等。芯片逻辑功能问:若使数码管通过7448译码能正常显示,那么7448的控制端应如何连接?第55页/共94页74LS147二十进制(8421)优先编码器 74LS4874LS48与共阴极数码管配合使用字符显示译码器 七段显示器实验中用的型号为共阴极数码管由74LS48驱动 练习一:如何将显示生活中的09的数据在数码管上显示出来编码译码部分的EWB仿真练习第56页/共94页编码译码部分的EWB仿真练习 练习题二:若有16人的表

27、决器,要求每个人按下表决按钮,首先要在数码管显示出这个人对应的编号(编号从0到F),然后在显示这个人是赞成、反对、弃权。练习题三:若有8个数码管和相应的译码芯片(7448)电路,其中高四位数码管显示整数部分,低四位数码管显示小数部分,现希望显示1234.5678,6.02,用EWB仿真实现。第57页/共94页3.2.3 数据分配器1.数据分配器的示意框图 在数字系统中,往往需要将公共数据线上的信号传送到不同单元中去,这通常可由数据分配器来完成;数据分配器的功能是将一个输入数据分时传送到多个输出端输出,也就是一路输入,多路输出。图是一个1路4路输出数据分配器的逻辑图。图中,D是数据输入端,A1和

28、A0是控制端,Y 3Y 0是4个输出端第58页/共94页3.2.3 数据分配器2.真值表和表达式控控 制制输输 出出A1A0Y3Y2Y1Y000000D0100D0100D0011D000问:由真值表得到其表达式?第59页/共94页3.2.3 数据分配器4.集成数据分配器 没有设计专门的数据分配器,可以用译码器实现数据分配的功能3.逻辑图第60页/共94页 例例:将输入信号序列:将输入信号序列00100100 00100100 分配到分配到Y0 Y0 通道输出。通道输出。在图中,如果D输入的是时钟脉冲,则由地址码的状态将该时钟脉冲分配到Y0Y7的某一个输出端,从而构成时钟脉冲分配器。数据分配器

29、或时钟分配器第61页/共94页数据选择器1.数据选择器的定义与功能 数据选择的功能:在通道选择信号的作用下,将多个通道的数据分时传送到公共的数据通道上去的。数据选择器:能实现数据选择功能的逻辑电路。它的作用相当于多个输入的单刀多掷开关,又称“多路开关”。常见的数据选择器有四选一(74153)和八选一(74151)数据选择器第62页/共94页4选1数据选择器1.4选1数据选择器框图2.真值表、逻辑表达式、逻辑图3.实际逻辑电路YA0A1地址输出输 入功能表 D0 0 0 D0 D1 0 1 D1D2 1 0 D2 D3 1 1 D3D第63页/共94页推广:8选1数据选择器问:有多少个数据输入端

30、?问:写出其对应的真值表、表达式逻辑图问:有多少个选择控制端?问:有多少个输出端?第64页/共94页74LS151功能框图D7YYE74HC151D6D5D4D3D2D1D0A2A1A0二 集成电路数据选择器8选1数据选择器74HC1512个互补输出端8 路数据输入端1个使能输入端3 个地址输入端第65页/共94页3.74LS151的功能表第66页/共94页例3-7 试用两片74LS151实现十六选一的功能 数据选择器74LS151的应用第67页/共94页2.数据选择器组成逻辑函数产生器控制Di,就可得到不同的逻辑函数。数据选择器74LS151的应用当D0=D3=D5=D7=0D1=D2=D4

31、=D6=1 时:当D0=D3=D5=D7=1D1=D2=D4=D6=0 时:D7YYE74LS151D6D5D4D3D2D1D0S2S1S0当E=0时:例3.8第68页/共94页比较Y与L,当 D3=D5=D6=D7=1 D0=D1=D2=D4=0时,D7E74HC151D6D5D4D3D2D1D0A2A1A0LYXYZ10Y=L练习:试用8选1数据选择器74LS151产生逻辑函数 解:7653mmmmL+=1AY0AZ=2AX=问:若用四选一数据选择器如何实现?第69页/共94页利用8选1数据选择器组成函数产生器的一般步骤a.将函数变换成最小项表达式b.将使器件处于使能状态c.地址信号A2.

32、A1、A0 作为函数的输入变量D.处理数据输入D0D7信号电平。逻辑表达式中有mi,则相应Di=1,其他的数据输入端均为0。总结:组合逻辑函数发生器的实现:1.数据选择器实现逻辑函数产生器 2.二进制译码器实现逻辑函数产生器第70页/共94页 数据选择器的典型应用二:并串变换 如图十六选一的数据选择器74150并行输入D0D15十六个数据,当选择输入A3A2A1A0的二进制数码依次由0000递增至1111,即其最小项由m0逐次变到m15时,16个通道的数据便依次传送到输出端,转换成串行数据。这种数据传送方式称为:并行/串行转换。第71页/共94页1.半加器 如果不考虑来自低位的进位将两个1位二

33、进制数相加,称为半加。实现半加运算的电路叫做半加器。一、一位加法器加法器加法器第72页/共94页2.全加器 在将两个对应位二进制数相加时,考虑来自低位的进位,既将3个数相加的运算称为全加,其电路叫做全加器。第73页/共94页1.串行进位加法电路二、多位(四位)加法器1.4位串行进位加法器电路图(图3.21)2.主要特点(P62)2.超前进位加法电路第74页/共94页4位超前进位加法器74LS283的逻辑图第75页/共94页74LS283的应用1.芯片引脚图2.引脚说明3.芯片使用:(8位加法器的设计),课后用EWB软件仿真实现,要求提供相应报告。(报告包括仿真电路、仿真结果分析)A03,B03

34、为加数C0为低位进位,C4为高位进位第76页/共94页 什么是数值比较器?数字比较器就是对两数A、B进行比较,以判断其大小的逻辑电路1.一位数字比较器1.逻辑抽象:(建立真值表)对于一位二进制数而言,比较结果可能有AB、AFBAB的不是由AB两个输入数比较得到的,而是由FAB和FAB 决定的。即练习:用EWB仿真实现16位比较器(串行或并行均可)第82页/共94页3.3 组合电路中的竞争与冒险一、竞争、冒险的概念 在组合电路中,当输入信号改变状态时,输出端可能出现虚假信号过渡干扰脉冲的现象,叫做竞争冒险。二、竞争冒险产生的原因 在分析和设计组合逻辑电路时,我们把所有的逻辑门都看成为理想的开关器

35、件,实际上,逻辑门工作时都存在传输延迟时间。1.所有信号也都有上升时间和下降时间,它们经导线传输也需要花费时间。2.输入同一个门的一组信号,由于来自不同的途径,会通过不同数目的门,经过不同长度的导线,它们到达的时间有先有后,这种现象叫做竞争。第83页/共94页 在如图所示电路中,与门G2的输入是A和两个互补信号。由于G1的延迟,A非的下降沿要滞后于A的上升沿,因此在很短的时间间隔内,G2的两个输入端都会出现高电平,使它的输出出现一个高电平窄脉冲 按逻辑设计要求,它是不应出现的干扰脉冲,与门G2的2个输入信号分别由G1和A端两个路径在不同时刻到达的现象,即称为竞争,由此产生输出干扰脉冲的现象即称

36、为冒险。举例说明第84页/共94页 A为地址变量,D0、D1为数据输入端。当D0=D1=1时,A由逻辑高电平变为逻辑低电平,Y应保持不变。但是,由于门电路具有传输延迟时间,所以当A由高电平变为低电平时,与门G2的输出(AD1)需经过一定时间才会从高电平变为低电平;举例说明第85页/共94页1.代数法判断 在输入变量每次只有一个改变状态的简单情况下,可以通过逻辑函数式判断组合逻辑电路中是否有竞争冒险存在。假若输出端门电路的两个输入信号A和 是经过不同的传输通路而来的,那么当变量A的状态发生突变时,输出端必然存在竞争冒险。因此,只要输出函数在一定条件下能简化成或 就可判定存在竞争冒险。竞争冒险的判

37、断与识别第86页/共94页例 试判断如图所示电路是否存在竞争冒险。已知输入变量每次只有一个改变状态。解:在图中,当B=C=1时,输出逻辑函数式为所以图(a)存在竞争冒险。在图(b)电路中,当A=C=0时,输出逻辑函数式为所以图(b)存在竞争冒险。第87页/共94页 2.用卡诺图法判断 凡是函数卡诺图中存在相切而不相交的方格群的逻辑函数都存在竞争冒险现象。上例中的两个电路,已经判断其存在竞争冒险现象,观察它们的卡诺图,可以看到它们都存在着相切而不相交的方格群的。如图所示。第88页/共94页 消除竞争冒险的方法在B=C=0时,若直接根据这个逻辑表达式组成逻辑电路,则可能出现竞争冒险现象。可以将该式

38、变换为这样就把根据这个表达式组成的逻辑电路就不会出现竞争冒险现象。消掉。例如,函数式1.发现并消掉互补变量第89页/共94页 消除竞争冒险的方法2.接滤波电容 由于竞争冒险现象所产生的干扰脉冲非常窄,所以可在输出端接一个容量很小的滤波电容来加以消除,其容量为420pF之间。如图所示,即在电路的输出端并联电容C。由于或门G4存在一输出电阻R0,致使输出波形上升沿和下降沿变化比较缓慢,对于很窄的负跳变脉冲起到滤波的作用,因而避免了在输出端出现竞争冒险现象第90页/共94页分析如图2选1数据选择器可知 此时,当A的状态发生变化时,将产生竞争冒险现象。根据如图所示Y的卡诺图,Y 可以写成消除竞争冒险的

39、方法 增加D 1D 0这一项后,当D 1=D 0=1时,无论A如何变化,输出Y始终为1,因此不再有干扰脉冲出现,消除了竞争冒险现象。因为从逻辑上看D1D0项对于函数Y是多余的,所以称之为冗余项。3.修改逻辑设计,增加冗余项第91页/共94页 消除竞争冒险的方法4.引入封锁脉冲(S)只有当输入AB00状态时,输出Y01。在输入信号A、B由10变为01时,由于门G1.G2的传输时间不同,输出端Y0的波形会出现竞争冒险现象,通过选通脉冲在可能出现冒险时,封锁与门,避免竞争冒险第92页/共94页 消除竞争冒险的方法5.引入选通(取样)脉冲(P)仅在电路进入稳定状态后才取样,其他时候都是封锁与门输出,避免竞争冒险第93页/共94页感谢您的观看!第94页/共94页

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 应用文书 > PPT文档

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com