交通灯信号控制器的设计学士学位论文.doc

上传人:知****量 文档编号:92939292 上传时间:2023-06-16 格式:DOC 页数:10 大小:94.50KB
返回 下载 相关 举报
交通灯信号控制器的设计学士学位论文.doc_第1页
第1页 / 共10页
交通灯信号控制器的设计学士学位论文.doc_第2页
第2页 / 共10页
点击查看更多>>
资源描述

《交通灯信号控制器的设计学士学位论文.doc》由会员分享,可在线阅读,更多相关《交通灯信号控制器的设计学士学位论文.doc(10页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、目 录(一)课程任务书2(二)设计题目方案确定3(三)设计项目输入编译和仿真4(四)器件编程下载与硬件验证7(五)实验总结与心得8课程设计任务书课题名称交通信号控制器接口设计完成时间 指导教师 职称高工学生姓名班 级3总体设计要求和技术要点主要课程支撑及实践目标要求:本课程是一门以实践环节为主的专业技术基础课,前序课程为:工程数学、电路分析、数字电路、模拟电子技术等,后续课程为单片机原理及应用、DSP技术应用、SOPC设计等,是电子信息类各专业的设计工具,也是电子系统设计的基础。通过本课程的学习使学生掌握可编程器件、EDA开发系统软件、硬件描述语言和电子线路设计与技能训练等各方面知识;提高工程

2、实践能力;学会应用EDA技术解决一些简单的电子设计问题。具体要求:1设交通灯信号控制器用于主干道与支干道公路的交叉路口,要求是优先保证主干道的畅通。因此,平时处于主干道绿灯,支道红灯状态,只有在支道有车辆要穿行主干道时,才将交通灯切向主干道红灯,支道绿灯,一旦支道无车辆通过路口,交通灯又回到主干道绿灯,支道红灯状态。2主干道和支干道自动循环。主干道和支道每次通行的时间为30s,而在两个状态交换过程出现的主黄,支红和主红,支黄状态,持续时间都为4s。3手动设置主干道和支道每次通行的时间分别为为30s、40s、50s;所需仪器设备:计算机、EDA实验箱、示波器成果验收形式:EDA实验箱上连接电路实

3、现整个设计;验收设计的显示结果及各模块软件程序。参考文献:1徐惠民,安德宁 数字逻辑设计与VHDL描述 机械工业出版社2 蒋璇,臧春华 数字系统设计与PLD应用技术电子工业出版社3陈赜,朱如琪 在系统可编程技术实践教程科学出版社4 黄正槿,徐坚等 CPLD系统设计技术入门与应用电子工业出版社5 赵曙光,郭万有等可编程逻辑器件原理、开发与应用 西安电子科技大学出版社6卢毅,赖杰 VHDL与数字电路设计科学出版社7潘松,黄继业 EDA技术实用教程科学出版社工作内容及时间进度安排十一月十号开始分析设计要求,进行VHDL语言的编译,十二号进行软件仿真,下载,硬件调试,十三号实现并验收,实践报告。 课程

4、设计成果1与设计内容对应的软件程序2课程设计报告书3成果使用说明书4设计工作量要求一 设计过程 (一)设计题目和方案确定1设计题目:交通灯信号控制器的设计2设计要求:1设交通灯信号控制器用于主干道与支干道公路的交叉路口,要求是优先保证主干道的畅通。因此,平时处于主干道绿灯,支道红灯状态,只有在支道有车辆要穿行主干道时,才将交通灯切向主干道红灯,支道绿灯,一旦支道无车辆通过路口,交通灯又回到主干道绿灯,支道红灯状态。2主干道和支干道自动循环。主干道和支道每次通行的时间为30s,而在两个状态交换过程出现的主黄,支红和主红,支黄状态,持续时间都为4s。3手动设置主干道和支道每次通行的时间分别为为30

5、s、40s、50s;3 设计思路:(1)传感器状态为主干路传感器支干路传感器,当支路无车时,即传感器开关状态为00 01状态时,总保持主干道绿灯支干道红灯状态;(2)当主路总无车而支路总有车时,即传感器开关为01状态时 ,总保持主红支绿;(3)当主干路支干路都有车时,即传感器为11状态时,主路支路轮流切换通行。当主路绿灯30s切黄灯4s后,主路变红灯,支路变绿灯;当支路绿灯30s切黄灯4s后,主路变绿灯,支路变红灯。此两种状态为“主黄,支红”,“主红,支黄”两种状态。(二)设计项目输入编译和仿真 1 设计交通控制器的VHDL文本程序:library ieee;use ieee.std_logi

6、c_1164.all;use ieee.std_logic_arith.alluse ieee.std_logic_unsigned.all;entity jtdkz is port(clk,sens_m,sens_f:in std_logic; m,l,n:in std_logic; rm,ym,gm,rf,yf,gf:out std_logic);end jtdkz;architecture arc of jtdkz is type state_type is (a,b,c,d); signal state:state_type; signal k:std_logic_vector(2 d

7、ownto 0);begin k=m&l&n;cnt:process(clk,state) variable g,s:integer range 0 to 49; variable nclr,en:bit; begin if k=001 then g:=29; elsif k=010 then g:=39; elsif krm=0;ym=0;gm=1; rf=1;yf=0;gf=0; if(sens_f and sens_m)=1 then if s=g then state=b;nclr:=0;en:=0; else state=a;nclr:=1;en:=1; end if; elsif(

8、sens_f and (not sens_m)=1 then state=b;nclr:=0;en:=0; else staterm=0;ym=1;gm=0; rf=1;yf=0;gf=0; if s=3 then state=c;nclr:=0;en:=0; else staterm=1;ym=0;gm=0; rf=0;yf=0;gf=1; if(sens_f and sens_m)=1 then if s=g then state=d;nclr:=0;en:=0; else state=c;nclr:=1;en:=1; end if; elsif sens_f=0 then state=d

9、;nclr:=0;en:=0; else staterm=1;ym=0;gm=0; rf=0;yf=1;gf=0; if s=3 then state=a;nclr:=0;en:=1; else state=d;nclr:=1;en:=1; end if; end case; end if;end process cnt;end arc;2 程序说明:rm ym gm分别表示主干道红黄绿灯,rf yf gf分别表示支道红黄绿灯;sens_m sens_f分别表示主干道支干道传感器。有车时为1,无车时为0。m l n表示手动控制开关,当001时表示30s控制时间,当010时表示40s控制时间,当

10、100时表示50s控制时间。3 将模块进行编译,具体如下:(1)器件的选择:选择FLEX10K10LC84-3器件。(2)锁定引脚。(3)编译。4.新建一个gdf文件,形成顶层文件,如图所示: 4功能仿真:创建仿真通道文件; 编辑仿真通道文件; 设计项目的仿真。新建一个scf文件,生成仿真图,如图所示:(三) 器件编程下载与硬件验证1. 器件编译:将ByteBlaster电缆的一端与计算机的并行口相连选择菜单命令MAX+PLUS/Programmer,打开编译窗口。如下图所示选择菜单命令Options/Hardware Setup,在Hardware Type栏选择ByteBlaster(MV

11、);在栏选择使用并行口(LPT1);选择OK按钮,回到器件编译窗口。如下图所示Parallel Port中2. 硬件验证:按锁定的引脚连接好试验箱,接入主干道支干道的红黄绿灯,由控制开关为:001 010 100时控制灯的切换时间分别为30秒,40秒,50秒,再由高低电平控制主干道支干道的通车情况,当控制键分别为00 01 10 11 时,分别表示都无车通行,仅主干道有车,仅支干道有车,都有车通行的情况,可以看到红黄绿灯依次亮灭的情况,当支干道无车时,总处于“主干道绿灯,支干道红灯”状态。当支道主道都有车时轮流切换通行。符合实验要求。二 实验总结与心得在对源程序的不断调试后,终于通过了编译,引脚锁定及下载程序并且在试验箱上得到验证,达到了课程设计的要求。通过几天的课程设计,我们对eda有了更进一步的了解,从课题给出到设计思路的确定,以及在翻阅资料得同时,我们将课堂上所学到的理论与实践进行了更好得结合,思考问题的角度更加深刻。对于可编程逻辑器件的了解更加透彻,在编译仿真的过程中,遇到了不少的问题,通过与同学及老师的讨论,都将这些问题一一解决,得到最后的试验结果。指导教师评语及设计成绩 评 语 课程设计成绩: 指导教师: 日期: 年 月 日10

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 教案示例

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com