交通灯信号控制设计--学士学位论文.doc

上传人:可****阿 文档编号:91637979 上传时间:2023-05-27 格式:DOC 页数:16 大小:4.52MB
返回 下载 相关 举报
交通灯信号控制设计--学士学位论文.doc_第1页
第1页 / 共16页
交通灯信号控制设计--学士学位论文.doc_第2页
第2页 / 共16页
点击查看更多>>
资源描述

《交通灯信号控制设计--学士学位论文.doc》由会员分享,可在线阅读,更多相关《交通灯信号控制设计--学士学位论文.doc(16页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、EDA技术实验报告册班级: 姓名:学号:指导教师:开课时间: 2013 至 2014 学年第 1 学期实验名称交通灯信号控制设计实验时间2013年12月05日姓 名实验成绩一、实验目的1.掌握VHDL语言的基本结构。2.掌握VHDL层次化的设计方法。3.掌握VHDL基本逻辑电路的综合设计应用。二、实验设备计算机软件:Quartus IIEDA实验箱。主芯片:EPM7128SLC84-15或EP1K100QC208-3。下载电缆,导线等。三、实验内容设计并调试好一个由一条主干道和一条支干道的汇合点形成的十字交叉路口的交通灯控制器,具体要求如下:1.主、支干道各设一个绿、黄、红指示灯,两个显示数码

2、管。2.主干道处于常允许通行状态,而支干道有车来时才允许通行。当主干道允许通行亮绿灯时,支干道亮红灯。而支干道允许通行亮绿灯时,主干道亮红灯。3.当主、支干道均有车时,两者交替允许通行,主干道每次放行45S,支干道每次放行25S,在每次由亮绿灯变成亮红灯的转换过程中,要亮5S的黄灯作为过渡,并进行减计时显示。要求编写交通灯控制器电路逻辑图中的各个模块的VHDL语言程序,并完成交通灯控制器的顶层设计,然后利用开发工具软件对其进行编译和仿真,最后要通过实验开发系统对其进行硬件验证。(一)编写交通灯控制器JTDKZ模块的VHDL程序,并对其进行编译和仿真,初步验证设计的正确性。LIBRARY IEE

3、E;USE IEEE.STD_LOGIC_1164.ALL;ENTITY JTDKZ ISPORT(CLK, SM, SB: IN STD_LOGIC;MR, MY, MG, BR, BY, BG: OUT STD_LOGIC);END ENTITY JTDKZ;ARCHITECTURE ART OF JTDKZ ISTYPE STATE_TYPE IS(A, B, C, D);SIGNAL STATE: STATE_TYPE;signal cnt:integer range 0 to 45;BEGIN PROCESS(CLK) ISBEGINIF(CLKEVENT AND CLK=1)THE

4、NCASE STATE IS WHEN A= IF(SB AND SM)=1 THENIF CNT=44 THEN CNT=0; STATE=B;ELSE CNT=CNT+1;STATE=A; END IF; ELSIF(SB AND (NOT SM)=1 THENSTATE=B; CNT=0; ELSE STATE=A; CNT IF CNT=4 THEN CNT=0;STATE=C; ELSE CNT=CNT+1;STATE IF(SM AND SB)=1 THEN IF CNT=24 THEN CNT=0; STATE=D; ELSE CNT=CNT+1;STATE=C; END IF;

5、 ELSIF SB=0 THEN STATE=D; CNT=0; ELSE STATE=C; CNT IF CNT=4 THEN CNT=0; STATE=A; ELSE CNT=CNT+1;STATEMR=0; MY=0; MG=1;BR=1; BY=0; BGMR=0; MY=1; MG=0;BR=1; BY=0; BGMR=1; MY=0; MG=0;BR=0; BY=0; BGMR=1; MY=0; MG=0;BR=0; BY=1; BG=0;END CASE; END PROCESS RGY;END ARCHITECTURE ART;(二)编写45S定时单元CNT45S模块的VHDL

6、程序,并对其进行编译和仿真,初步验证设计的正确性。-45s定时模块源程序CNT45S.VHD LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY time_45s IS PORT(SB,SM, CLK, EN45: IN STD_LOGIC; DOUT45M, DOUT45B: OUT STD_LOGIC_VECTOR(7 DOWNTO 0); END ENTITY time_45s ; ARCHITECTURE ART OF time_45s IS SIGNAL CNT6B: S

7、TD_LOGIC_VECTOR(5 DOWNTO 0); BEGIN PROCESS(SB, SM, CLK, EN45) IS BEGIN IF(CLKEVENT AND CLK= 1)THEN IF SB=1 AND SM=1 THEN IF EN45=1THEN CNT6B=CNT6B+1;ELSE CNT6B=000000; END IF; ELSE CNT6BDOUT45M=01000101; DOUT45BDOUT45M=01000100; DOUT45BDOUT45M=01000011; DOUT45BDOUT45M=01000010; DOUT45BDOUT45M=010000

8、01; DOUT45BDOUT45M=01000000; DOUT45BDOUT45M=00111001; DOUT45BDOUT45M=00111000; DOUT45BDOUT45M=00110111; DOUT45BDOUT45M=00110110; DOUT45BDOUT45M=00110101; DOUT45BDOUT45M=00110100; DOUT45BDOUT45M=00110011; DOUT45BDOUT45M=00110010; DOUT45BDOUT45M=00110001; DOUT45BDOUT45M=00110000; DOUT45BDOUT45M=001010

9、01; DOUT45BDOUT45M=00101000; DOUT45BDOUT45M=00100111; DOUT45BDOUT45M=00100110; DOUT45BDOUT45M=00100101; DOUT45BDOUT45M=00100100; DOUT45BDOUT45M=00100011; DOUT45BDOUT45M=00100010; DOUT45BDOUT45M=00100001; DOUT45BDOUT45M=00100000; DOUT45BDOUT45M=00011001; DOUT45BDOUT45M=00011000; DOUT45BDOUT45M=000101

10、11; DOUT45BDOUT45M=00010110; DOUT45BDOUT45M=00010101; DOUT45BDOUT45M=00010100; DOUT45BDOUT45M=00010011; DOUT45BDOUT45M=00010010; DOUT45BDOUT45M=00010001; DOUT45BDOUT45M=00010000; DOUT45BDOUT45M=00001001; DOUT45BDOUT45M=00001000; DOUT45BDOUT45M=00000111; DOUT45BDOUT45M=00000110; DOUT45BDOUT45M=000001

11、01; DOUT45BDOUT45M=00000100; DOUT45BDOUT45M=00000011; DOUT45BDOUT45M=00000010; DOUT45BDOUT45M=00000001; DOUT45BDOUT45M=00000000; DOUT45B=00000000; -BCD数00, 00 END CASE; END PROCESS; END ARCHITECTURE ART;(三)编写25S定时单元CNT25S模块的VHDL程序,并对其进行编译和仿真,初步验证设计的正确性。-25s定时模块源程序CNT25S.VHD LIBRARY IEEE; USE IEEE.ST

12、D_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY time_25s IS PORT(SB, SM, CLK, EN25: IN STD_LOGIC; DOUT25M, DOUT25B: OUT STD_LOGIC_VECTOR(7 DOWNTO 0); END ENTITY time_25s; ARCHITECTURE ART OF time_25s IS SIGNAL CNT_5Bit: STD_LOGIC_VECTOR(4 DOWNTO 0); BEGIN PROCESS(SB, SM, CLK, EN25) IS BEGI

13、N IF SB=0 OR SM=0 THEN CNT_5Bit=00000; ELSIF(CLKEVENT AND CLK= 1)THEN IF EN25=1 THEN CNT_5Bit=CNT_5Bit+1; ELSIF EN25=0THEN CNT_5BitDOUT25B=00100101; DOUT25MDOUT25B=00100100; DOUT25MDOUT25B=00100011; DOUT25MDOUT25B=00100010; DOUT25MDOUT25B=00100001; DOUT25MDOUT25B=00100000; DOUT25MDOUT25B=00011001; D

14、OUT25MDOUT25B=00011000; DOUT25MDOUT25B=00010111; DOUT25MDOUT25B=00010110; DOUT25MDOUT25B=00010101; DOUT25MDOUT25B=00010100; DOUT25MDOUT25B=00010011; DOUT25MDOUT25B=00010010; DOUT25MDOUT25B=00010001; DOUT25MDOUT25B=00010000; DOUT25MDOUT25B=00001001; DOUT25MDOUT25B=00001000; DOUT25MDOUT25B=00000111; D

15、OUT25MDOUT25B=00000110; DOUT25MDOUT25B=00000101; DOUT25MDOUT25B=00000100; DOUT25MDOUT25B=00000011; DOUT25MDOUT25B=00000010; DOUT25MDOUT25B=00000001; DOUT25MDOUT25B=00000000; DOUT25M=00000000; -BCD数00, 00 END CASE; END PROCESS; END ARCHITECTURE ART;(四)编写5S定时单元CNT05S模块的VHDL程序,并对其进行编译和仿真,初步验证设计的正确性。-5s

16、定时模块源程序CNT05S.VHD LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY time_5s IS PORT(CLK, EN05M, EN05B: IN STD_LOGIC; DOUT5: OUT STD_LOGIC_VECTOR(7 DOWNTO 0); END ENTITY time_5s; ARCHITECTURE ART OF time_5s IS SIGNAL CNT_3Bit: STD_LOGIC_VECTOR(2 DOWNTO 0); BEGIN PROCE

17、SS(CLK, EN05M, EN05B) IS BEGIN IF(CLKEVENT AND CLK= 1)THEN IF EN05M=1 OR EN05B=1 THEN CNT_3Bit=CNT_3Bit+1; ELSE CNT_3BitDOUT5DOUT5DOUT5DOUT5DOUT5DOUT5=00000000; -BCD数00 END CASE; END PROCESS; END ARCHITECTURE ART;(五)编写显示控制单元XSKZ模块的VHDL程序,并对其进行编译和仿真,初步验证设计的正确性。-显示控制模块源程序XSKZ.VHD LIBRARY IEEE; USE IEE

18、E.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY XSKZ IS PORT(EN45, EN25, EN05M, EN05B:IN STD_LOGIC; AIN45M, AIN45B: IN STD_LOGIC_VECTOR(7 DOWNTO 0); AIN25M, AIN25B, AIN05: IN STD_LOGIC_VECTOR(7 DOWNTO 0); dec_m, dec_b: OUT STD_LOGIC_VECTOR(7 DOWNTO 0); END ENTITY XSKZ; ARCHITECTURE ART

19、 OF XSKZ IS BEGIN PROCESS(EN45,EN25,EN05M, EN05B,AIN45M,AIN45B,AIN05,AIN25M,AIN25B) IS BEGIN IF EN45=1 THEN dec_m=AIN45M(7 DOWNTO 0); dec_b=AIN45B(7 DOWNTO 0); ELSIF EN05M=1 THEN dec_m=AIN05(7 DOWNTO 0); dec_b=AIN05(7 DOWNTO 0); ELSIF EN25=1 THEN dec_m=AIN25M(7 DOWNTO 0); dec_b=AIN25B(7 DOWNTO 0); -

20、ELSIF EN05B=1 THEN ELSE dec_m=AIN05(7 DOWNTO 0); dec_b=AIN05(7 DOWNTO 0); END IF; END PROCESS; END ARCHITECTURE ART; (六)数码管动态扫描显示电路设计LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY disp_scan IS PORT(CLK_scan: IN STD_LOGIC; DEC_M: IN ST

21、D_LOGIC_VECTOR(7 DOWNTO 0); DEC_B: IN STD_LOGIC_VECTOR(7 DOWNTO 0); LEDW: OUT STD_LOGIC_VECTOR(2 DOWNTO 0); SEG7: OUT STD_LOGIC_VECTOR(7 DOWNTO 0); END ENTITY disp_scan; ARCHITECTURE ART OF disp_scan ISsignal temp: STD_LOGIC_VECTOR(3 DOWNTO 0);signal CNT:STD_LOGIC_VECTOR(2 DOWNTO 0); begin PROCESS(C

22、LK_scan) IS BEGIN IF CLK_scanEVENT AND CLK_scan=1 THEN IF CNT=111 THEN CNT=000; ELSE CNT=CNT+1; END IF; END IF; END PROCESS; LEDW TEMP TEMP TEMP TEMP TEMP SEG7 SEG7 SEG7 SEG7 SEG7 SEG7 SEG7 SEG7 SEG7 SEG7 SEG7=00000000; END CASE; END PROCESS; END ARCHITECTURE ART;(七)利用前面所设计的模块,完成交通灯信号控制器的顶层设计,并对其进行编

23、译和仿真,初步验证设计的正确性。LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY traffic ISPORT(SB,SM, CLK, clk_scan: IN STD_LOGIC;MR1,MY1,MG1,BR1,BY1,BG1:BUFFER STD_LOGIC;-MR2,MY2,MG2,BR2,BY2,BG2:OUT STD_LOGIC;ledw:out STD_LOGIC_VECTOR(2 DOWNTO 0);seg7: OUT STD_LOGIC_VECTOR(7 DOWNTO 0);END ENTITY traffic ;ARCHITECT

24、URE ART OF traffic ISCOMPONENT JTDKZ ISPORT(CLK, SM, SB: IN STD_LOGIC;MR, MY, MG, BR, BY, BG: OUT STD_LOGIC);END COMPONENT JTDKZ; COMPONENT time_45s IS PORT(SB,SM, CLK, EN45: IN STD_LOGIC; DOUT45M, DOUT45B: OUT STD_LOGIC_VECTOR(7 DOWNTO 0); END COMPONENT time_45s ; COMPONENT time_25s IS PORT(SB, SM, CLK, EN25: IN STD_LOGIC; DOUT25M, DOUT25B: OUT STD_LOGIC_VECTOR(7 DOWNTO 0); END COMPONENT time_25s;COMPONENT time_5s IS PORT(CLK, EN05M, EN05B: IN STD_LOGIC; DOUT5: OUT STD_LOGIC_VECTOR(7 DOWNTO 0); END COMPONENT time_5s; COMPONENT XSKZ IS PORT(EN45, EN25, EN05M, EN05B:IN STD_LOGIC; A

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 教案示例

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com