EDA红绿灯设计.docx

上传人:飞****2 文档编号:78865734 上传时间:2023-03-19 格式:DOCX 页数:7 大小:59.95KB
返回 下载 相关 举报
EDA红绿灯设计.docx_第1页
第1页 / 共7页
EDA红绿灯设计.docx_第2页
第2页 / 共7页
点击查看更多>>
资源描述

《EDA红绿灯设计.docx》由会员分享,可在线阅读,更多相关《EDA红绿灯设计.docx(7页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、安徽经济管理学院EDA技术课程设计报告设计题目:红绿灯设计系 别:信息工程系专 业:应用电子技术班 级:10级02班老 师: 王 海 姓 名: 学 号: 成 绩: 2012 年 11 月 06 日 安徽经济管理学院信息工程系目 录一、设计任务2二、设计步骤2三、实现方案3四、程序设计5五、心得体会6一设计任务设计一个交通控制器,用LED显示交通状态,并用七段数码显示器显示当前状态剩余秒数。(1)主干道绿灯亮时,支干道红灯亮,反之依然,二者交替允许通行,主干道每次放行35S,支干道每次放行25S。每次由绿灯变为红灯过程中,亮光的黄灯作为过渡,黄灯的时间为5S。(2)能实现正常的倒计时显示功能。(

2、3)具有复位清零功能。(4)能实现特殊状态的功能显示。进入特殊状态时,东西南北均显示红灯状态。二、设计步骤(1)采用文本编辑法(2)实体、构造体(3)过程:1kHz分频,1Hz分频,交通灯控制状态,交通灯扫描状态,数码管扫描计数,数码管动态扫描,7段译码。三、 实现方案 (1)从题目中计数值与交通灯的亮灭的关系如下状态主干道支干道时间0绿灯亮红灯亮35s1黄灯亮红灯亮5s2红灯亮绿灯亮25s3红灯亮黄灯亮5s 图1。 (2)下图为交通灯控制器的引脚设置四、 程序设计LIBRARY ieee;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIG

3、NED.ALL;-*实体定义*ENTITY d03saj ISPORT( clk : inSTD_LOGIC;-时钟输入 jin : in std_logic;-禁止通行 rst : inSTD_LOGIC;-复位键 scan : outSTD_LOGIC_VECTOR(3 downto 0);-数码管地址选择新信号 seg7 : out std_logic_vector(7 downto 0);-七段码管显示输出 row : out std_logic_vector(3 downto 0);-输出组控制 lineled : out std_logic_vector(7 downto 0);-

4、点阵行控制 rowled : out std_logic_vector(7 downto 0);-点阵列控制 r,y,g : out std_logic -红黄绿灯输出 );END d03saj;-*构造体定义*architecture led of d03saj is signal p : integer range 0 to 3;-扫描计数器 signal f : integer range 0 to 3;-状态控制寄存器 signal s,c : integer range 0 to 7;-LED扫描计数器 signal clk1khz,clk1hz :std_logic;-分频信号1k

5、和1hz signal one,ten :std_logic_vector(3 downto 0);-倒计时的个位和十位 signal cnt :integer range 0 to 1;-数码管扫描数信号 signal cnt1:integer range 0 to 63;-LED动态扫描 signal cnt2:integer range 0 to 1;-绿灯LED动态扫描 signal data:std_logic_vector(3 downto 0); signal seg7_temp :std_logic_vector(7 downto 0); signal ra,ya,ga : s

6、td_logic;-横向路口控制信号 signal rb,yb,gb : std_logic;-纵向路口控制信号begin-*1kHz分频程序*process(clk)variable count:integer range 0 to 24999;beginif clkevent and clk=1 thenif count=24999 then clk1khz=not clk1khz;count:=0;elsecount:=count+1;end if;end if;end process;-*1Hz分频程序和扫描信号产生*process(clk1khz)variable count:int

7、eger range 0 to 499;beginif clk1khzevent and clk1khz=1 thenif count=499 then clk1hz=not clk1hz; count:=0;else count:=count+1;end if;if p=3 thenp=0;elsep smg7:=; WHEN 1 = smg7:=; WHEN 2 = smg7:=; WHEN 3 = smg7:=; WHEN 4 = smg7:=; WHEN 5 = smg7:=; WHEN 6 = smg7:=; WHEN 7 = smg7:=; END CASE; RETURN smg

8、7; END wordr;六心得体会通过本次 EDA 设计实验,我将理论与实践充分地结合到一起。实践中验证 理论,实践中发现新的问题,用所学到的理论知识去解决遇到的问题,在实验的 过程中通过思考,摸索以及向老师、同学请教,我学到了不少知识,掌握了quartus2 软件的操作,而这些是在书本中根本无法学到的,只有通过自己亲自 实践,才会有所启发,才会有所收获。原来所学的书本知识在学习的时候感到枯 燥无味,因此只是理解了个皮毛。但是,现在通过这次实验,使得我对书本知识 有了一个更深入的理解,从根本上对有些问题有了一个全新的看法,希望以后还 有更多这样的实验机会。 由于实验的复杂性,所以在仿真的过程中也遇到了困难。 这次实验是我通过自身去解决,去分析,去探索的,我从中受益匪浅,也 更深入地理解理论。 最后在此特别感谢王海老师在实验中给予我的帮助。谢谢!

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 教案示例

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com