数字电子技术课后习题答案.ppt

上传人:wuy****n92 文档编号:64358827 上传时间:2022-11-29 格式:PPT 页数:80 大小:700.50KB
返回 下载 相关 举报
数字电子技术课后习题答案.ppt_第1页
第1页 / 共80页
数字电子技术课后习题答案.ppt_第2页
第2页 / 共80页
点击查看更多>>
资源描述

《数字电子技术课后习题答案.ppt》由会员分享,可在线阅读,更多相关《数字电子技术课后习题答案.ppt(80页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、数字电子技术作业数字电子技术作业第一章数字逻辑基础第一章数字逻辑基础第二章逻辑门电路第二章逻辑门电路第三章组合逻辑电路第三章组合逻辑电路第四章触发器第四章触发器第五章时序逻辑电路第五章时序逻辑电路第七章半导体存储器第七章半导体存储器第六章脉冲波形的产生与整形第六章脉冲波形的产生与整形第八章可编程逻辑器件第八章可编程逻辑器件第九章数第九章数/模和模模和模/数转换器数转换器 1.12 写出下写出下图图所示各所示各逻辑图逻辑图的的输输出函数表达式,列出它出函数表达式,列出它们们的真的真值值表。表。F1F2F3F4解:解:1.14化化简简下列下列逻辑逻辑函数,并画出函数,并画出实现逻辑实现逻辑函数的函

2、数的逻辑图逻辑图。(1)用与非)用与非门实现门实现Y1=解:解:不是唯一!不是唯一!1.17写出下写出下图图所示各函数的最所示各函数的最简简与或表达式。与或表达式。2.16写出下写出下图图所示所示TTL门电门电路路输输出信号的出信号的逻辑逻辑表达式。表达式。v2.21由COMS传输门构成的电路如下图(a)所示,A、B为信息输入,C为控制输入,其波形如下图(b)所示。设VDD=+5V,USS=0V,UTP=UTN=3V。要求按比例画出输出信号Y波形。v3.2 已知逻辑电路如图P3.2所示,分析电路的功能。v解:逻辑表达式v电路实现“意见一致”功能A B C Y0 0 0 00 0 1 10 1

3、0 10 1 1 11 0 0 11 0 1 11 1 0 11 1 1 0真值表v3.6 试设计一个8421BCD码的验码电路。要求输入量DCBA2,或7时,电路输出Y为高电平,否则输出为低电平。用与非门和反相器设计电路,写出Y的表达式。v解:输出Y的卡诺图如下v3.9 某实验室有红、黄两个故障灯,用来表示三台设备的工作情况。当只有一台设备有故障时,黄灯亮;若有两台设备同时产生故障时,红灯亮;而当三台设备都产生故障时,红灯、黄灯同时亮。试设计一个控制指示灯的逻辑电路,用适当的逻辑门实现。v解:v A、B、C表示三台设备,逻辑“1”表示有故障;红灯(R)、黄灯(Y)逻辑“1”表示灯亮,真值表如

4、下:A B CR Y0 0 00 00 0 10 10 1 00 10 1 11 01 0 00 11 0 11 01 1 01 01 1 11 1v3.13某医院有一、二、三、四号病室4间,每室设有呼叫按钮,同时在护士值班室内对应的装有一号、二号、三号、四号4个指示灯。v现要求当一号病室的按钮按下时,无论其它病室的按钮是否按下,只有一号灯亮。当一号病室的按钮没有按下而二号病室的按钮按下时,无论三、四号病室的按钮是否按下,只有二号灯亮。当一、二号病室的按钮都未按下而三号病室的按钮按下时,无论四号病室的按钮是否按下,只有三号灯亮。只有在一、二、三号病室的按钮均未按下四号病室的按钮时,四号灯才亮。

5、试用优先编码器74148和门电路设计满足上述控制要求的逻辑电路,给出控制四个指示灯状态的高、低电平信号。74148优先编码器引脚图和逻辑符号 (a)引脚图;(b)逻辑符号74148优先编码器真值表 v解:先用74148编码,再用门电路译码既可实现功能。v用74148的高4位时:一号病床接最高位(低电平为按下开关)相应的编码输出为输 入输 出 Y2 Y1 Y00 0 0 01 0 X 0 0 11 1 0 0 1 01 1 1 0 0 1 1低电平表示灯亮v电路如图所示v3.14试用与非门设计一个译码器,译出对应ABCD=0010,1010,1110状态的3个信号。v解:v3.18 试用7413

6、8译码器和适当的门电路实现下列逻辑函数。v(1)v解:v3.21 用8选1数据选择器74151设计一个组合逻辑电路。该电路有3三个输入逻辑变量A、B、C和一个工作状态控制变量M。当M0时电路实现“意见一致”功能(A、B、C状态一致时输出为1,否则输出为0),而M1时电路实现“多数表决”功能,即输出与A、B、C中多数的状态一致。v解:逻辑表达式如下:v3.27 已知描述某电路的逻辑函数表达式为v ,判断该逻辑电路是否存在竞争冒险。v解:函数的卡诺图如图:由于存在 项,不存在相切的圈,故无冒险。v4.1在用或非门组成的基本RS触发器中,已知输入SD、RD的波形图如下,试画出输出Q,对应的波形图。设

7、触发器的初始状态为Q=0,。解:波形图如下图v4.2在用与非门组成的基本RS触发器中,已知输入 、的波形图如下,试画出输出Q,对应的波形图。设触发器的初始状态为Q=0,.v解:波形图如下图不定不定v4.6主从JK触发器,已知CP、J、K的波形如下所示,试画出Q对应的波形图。触发器的初始状态为Q=0。v解:CP为为1时时输入端输入端2次变化,次变化,v4.7维持阻塞边沿D触发器中,已知v的波形如下所示,试画出输出Q对应的波形图。设触发器的初始状态为Q=0。v解:异步异步置置1v4.12逻辑电路如下所示,已知CP和X的波形,试画出Q1和Q2的波形。设各触发器的初始状态均为0。v解:v4.14下图是

8、用CMOS边沿触发器和或非门组成的脉冲分频电路。试画出在一系列CP脉冲作用下Q1Q2和Z端对应的输出波形。设各触发器的初始状态均为0。v解:触发器门触发器门延迟时间延迟时间v 5.1试分析下图所示的时序电路。写出电路的驱动方程、状态方程和输出方程,画出电路的状态表、状态图和时序图,说明电路能否自启动。设各触发器的初始状态为0。v解:v驱动方程为:;v输出方程为:v状态方程为:v状态表为:状态图为:00100111/0/0/0/1v时序图为:v 5.2分析下图所示时序电路的逻辑功能。写出电路的驱动方程、状态方程和输出方程,画出电路的状态表、状态图和时序图,说明电路能否自启动。设各触发器的初始状态

9、为0。v解:v驱动方程为:v输出方程为:v状态方程为:v状态表为:状态图为:X Q2n Q1nQ2n1 Q1n1Y0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 10 11 01 10 01 10 00 11 000011000001001110/01/00/10/00/01/01/01/1v时序图为:上升沿上升沿触发触发v5.4分析下图所示的时序电路。写出电路的时钟方程、驱动方程、状态方程和输出方程,画出电路的状态表、状态图和时序图,说明电路能否自启动。设各触发器的初始状态为0。v5.8电路如下所示,试分析它是几进制计数器,画出它的状态转移图。v解:v驱动方程为

10、:v状态方程为:v状态表为:Q3n Q2n Q1nQ3n1 Q2n1 Q1n10 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 10 0 10 1 01 0 01 1 00 0 10 1 01 0 01 1 0v状态图为:v三进制计数器(三位环形计数器)001010100000110111101011v5.11试分析下图所示电路,画出它的状态转换图,说明它是几进制计数器。v解:v74163为同步清零二进制计数器,当Q4Q3Q2Q11010时下一个时钟清零。v状态转换图如下图:v 000000010010001101000101v v 1010 1001 1000 0

11、111 0110v v电路为11进制计数器。v5.12试分别用异步清零法和同步预置数法将集成计数器74161连接成九进制计数器。v解:v5.15试分析下图所示的计数器在M=1和M=0时各为几进制。v解:v 74160为异步清零同步置数的十进制计数器,利用同步置数端进行反馈置数。v当M1时:预置数为0100v计数器状态为01001001循环,为6进制计数器。v当M0时:预置数为0010v计数器状态为00101001循环,为8进制计数器。v5.18试分析下图所示电路说明它是几进制计数器,采用了何种进位方式。v解:v 为100进制计数器,同步级联方式。v5.21试用两片74194构成8位双向移位寄存

12、器。v5.23试用下降沿触发的边沿JK触发器和门电路设计一个同步五进制加法计数器,并检查能否自启动。v解:v1.状态转换图为Q2nQ1nQ0n/0001010100000011/0/0/0/1v2.卡诺图为v由卡诺图得电路的状态方程与输出方程为v 得v逻辑图为v检查自启动v101010v110010v111000 v电路可以自启动/0001010100000011/0/0/0/1110101111v5.25设计一个串行数据检测器,X为输入,Z为输出。当检测到X(自左向右)连续输入101时Z=1,否则Z=0。X输入的1不能首尾重复使用。如v X=01010110100v Z=0001000010

13、0v解:v1.原始状态转换图v设输入1以前状态为S0;输入1后状态为S1;输入10后状态为S2;输入101后状态为S3。v2.化简状态:S0与S3等价v3.状态编码:v取S0=00;S1=01v S2=10。v4.状态表:0/00/01/01/10/01/01/00/00/00/01/01/11/00/0010 00 11 000/010/000/001/000/000/1XQ1nQ0nv5.卡诺图;状态方程v6.选J-K触发器v7.电路图:v检查电路能自启动v 6.3单稳态触发器的输入、输出波形如下所示。已知VCC=5V,给定的电容C=0.47F,试画出用555定时器构成的单稳态触发器电路图

14、,并确定电阻R的取值应为多少?v解:v v6.5 555定时器接成占空比可调的多谐振荡器,已知v(1)求uO振荡频率v(2)若将电路中的控制电压端(5)脚改接uIC参考电压,当uIC分别取4V、2.6V、2V、1.2V时,输出uO的频率f各应为多少?v(3)根据上述的计算结果,该电路具备何种功能?v解:v(1)v(2)v当uIC取4V时:比较点电压uTH4V、uTL2Vv当uIC取2.6V时:比较点电压uTH2.6V、uTL1.3Vv当uIC取2V时:比较点电压uTH2V、uTL1Vv当uIC取1.2V时:比较点电压uTH1.2V、uTL0.6 v(3)根据上述的计算结果,可知该电路在控制端加

15、电压时,加的电压越高,振荡频率越低;加的电压越低,振荡频率越高。因此电路具备电压控制频率的功能,亦称压控振荡器。v7.2 一个容量为5128位的RAM,该RAM有多少个基本存储单元?每次访问几个基本存储单元?有几根地址线?几根数据线?v答:v有4K个基本存储单元(4096);每次访问8个基本存储单元;有9根地址线;8根数据线。v7.7 写出图p7所示电路中不同地址输入时ROM中的信息内容。v解:地 址数 据 A1 A0D3 D2 D1 D00 00 11 01 10 1 0 11 0 1 01 0 0 10 0 1 1不同地址输入时ROM中的信息内容 v7.8 一个EPROM所存储的信息如图p

16、7.8所示。试画出其简化阵列图并列写输出数据表达式。v解:简化阵列图如图所示v8.5 分析图p8.5的逻辑电路,写出输出逻辑表达式。v解:电路为PAL逻辑器件,输出表达式如下:v9.2在图所示的权电流倒T型电阻网络D/A转换器中,已知UREF6V,R148k,当输入d3d2d1d01100时,uO1.5V,试确定RF的值。v解:v9.5为了把一个8位的数字信号转换为模拟信号,能否选用AD752010位D/A转换器?如可以,电路如何连接。v解:v可以选用AD752010位D/A转换器。将d9d80即可,此时输出的电压将会较小。v9.8如果将图9.3.4(a)逐次逼近型A/D转换器的输出扩展到10位,取时钟频率为1MHz,试计算完成一次转换操作所需要的时间是多少?如果要求一次转换操作的时间小于120s,問时钟频率应选多大?v解:v转换一次所需要的计数脉冲为102个,故转换一次所需要的时间为:v如果要求一次转换操作的时间小于120s,时钟频率应1MHz。v9.9在图所示的双积分型A/D转换器中,若计数器为10位二进制,时钟信号频率为1MHz,试计算转换器的最大转换时间是多少?v解:v第一次积分的时间为计数器计满所需的时间,即v第二次积分的最大时间为计数器计满所需的时间,即

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 大学资料

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com