《 E D A 技术》 课程教学.ppt

上传人:创****公 文档编号:4153868 上传时间:2021-03-06 格式:PPT 页数:31 大小:1.50MB
返回 下载 相关 举报
《 E D A 技术》 课程教学.ppt_第1页
第1页 / 共31页
《 E D A 技术》 课程教学.ppt_第2页
第2页 / 共31页
点击查看更多>>
资源描述

《《 E D A 技术》 课程教学.ppt》由会员分享,可在线阅读,更多相关《《 E D A 技术》 课程教学.ppt(31页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、 E D A 技 术 课 程 教 学,讲授:伍宗富,2021年3月6日星期六,湖南文理学院电气与信息工程学院,第 六 讲 组合逻辑电路设计,教学目的:使学生掌握组合逻辑电路的设计方法。 教学重点:CPLD/FPGA器件实现组合逻辑电路;编码器、译码器。 教学难点:VHDL与CPLD/FPGA工程实现。 教学方法:讲授法、计算机辅助法。 课时计划:2学时 使用教材:EDA技术及应用谭会生等西安:西安电子科技大学出版社 主要参考文献: 1 徐光辉等CPLD/FPGA的开发和应用M北京:电子工业出版社 2 侯伯亨等.VHDL硬件描述语言与数字逻辑电路设计M.西安:西安电子科技大学出版社 3 4 周立

2、功等SOPC嵌入式系统基础教程M北京:北京航空航天大学出版社,课题:组合逻辑电路设计,一、 VHDL编程设计,二、 VHDL实际应用,三、基本门电路设计,四、四选一选择器电路设计,七、作业,五、三态门及总线缓冲器电路设计,六、课堂小结,3个二进制输入端A,B,C和8个译码输出端y0y7。 对输入A, B,C的值进行译码,确定输出端y0y7的哪一个输出端变为有效(低电平),从而达到译码的目的。 EN使能输入脚为方便译码器的控制或便于将来扩充用。,表1 3-8线译码器的真值表,(2)译码器的VHDL编程,三、基本门电路设计,LIBRARY IEEE; USE IEEE.STD_LOGIC_1164

3、.ALL; ENTITY GATE IS PORT (A,B: IN STD_LOGIC; YAND,YOR,YNAND,YNOR,YNOT,YXOR: OUT STD_LOGIC); END ENTITY GATE; ARCHITECTURE ART OF GATE IS BEGIN YAND=A AND B; -与门输出 YOR=A OR B; -或门输出 YNAND=A NAND B; -与非门输出 YNOR=A NOR B; -或非门输出 YNOT=NOT B; -反相器输出 YXOR=A XOR B; -异或门输出 END ARCHITECTURE ART;,四、四选一选择器电路设计

4、,LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY MUX41 IS PORT (INP: IN STD_LOGIC_VECTOR(3 DOWNTO 0); A,B:IN STD_LOGIC; Y:OUT STD_LOGIC); END ENTITY MUX41; ARCHITECTURE ART OF MUX41 IS SIGNAL SEL:STD_LOGIC_VECTOR(1 DOWNTO 0); BEGIN SEL=B,五、三态门及总线缓冲器电路设计,1三态门电路,LIBRARY IEEE; USE IEEE.STD_LOGIC_1164

5、.ALL; ENTITY TRISTATE IS PORT (EN,DIN:IN STD_LOGIC; DOUT:OUT STD_LOGIC); END TRISTATE; ARCHITECTURE ART OF TRISTATE IS BEGIN PROCESS(EN,DIN) BEGIN IF EN=1 THEN DOUT=DIN; ELSE DOUT=Z; -DOUT=1; END IF; END PROCESS; END ARCHITECTURE ART;,五、三态门及总线缓冲器电路设计,2单向总线驱动器电路,LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.

6、ALL; ENTITY TR1_BUF8 IS PORT (DIN:IN STD_LOGIC_VECTOR(7 DOWNTO 0); EN:IN STD_LOGIC; DOUT:OUT STD_LOGIC_VECTOR(7 DOWNTO 0); END ENTITY TR1_BUF8; ARCHITECTURE ART OF TR1_BUF8 IS BEGIN PROCESS(EN,DIN) BEGIN IF(EN=1)THEN DOUT=DIN; ELSE DOUT=ZZZZZZZZ; END IF; END PROCESS; END ARCHITECTURE ART;,五、三态门及总线缓冲

7、器电路设计,3双向总线驱动器电路,LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY BIDIR IS PORT(A,B:INOUT STD_LOGIC_VECTOR(7 DOWNTO 0); EN,DIR:IN STD_LOGIC); END ENTITY BIDIR; ARCHITECTURE ART OF BIDIR IS SIGNAL AOUT,BOUT:STD_LOGIC_VECTOR(7 DOWNTO 0); BEGIN PROCESS(A,EN,DIR) BEGIN IF(EN=0)AND (DIR=1)THEN BOUT=A; ELSE BOUT=ZZZZZZZZ; END IF; B=BOUT; END PROCESS; PROCESS(B,EN,DIR) BEGIN IF(EN=0)AND (DIR=0)THEN AOUT=B; ELSE AOUT=ZZZZZZZZ; END IF; A=AOUT; END PROCESS; END ARCHITECTURE ART;,课外作业: 教材P210 3.18、.26,

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 大学资料

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com