EDA-洗衣机控制器设计(14页).doc

上传人:1595****071 文档编号:34793833 上传时间:2022-08-18 格式:DOC 页数:14 大小:270.50KB
返回 下载 相关 举报
EDA-洗衣机控制器设计(14页).doc_第1页
第1页 / 共14页
EDA-洗衣机控制器设计(14页).doc_第2页
第2页 / 共14页
点击查看更多>>
资源描述

《EDA-洗衣机控制器设计(14页).doc》由会员分享,可在线阅读,更多相关《EDA-洗衣机控制器设计(14页).doc(14页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、-沈阳理工大学课 程 EDA设计 题 目 洗衣机控制器设计 院 系 装备工程学院 专业班级 探测制导与控制技术 学生姓名 杜继石 学生学号 0811020219 指导教师 钱博 2010年 12 月21日第 7 页-主要内容: 设计一个洗衣机控制器,要求洗衣机有正转、反转、暂停三种状态。设定洗衣机的工作时间,要洗衣机在工作时间内完成:定时启动正转20秒暂停10秒反转20秒暂停10秒定时未到回到“正转20秒暂停10秒”,定时到则停止,同时发出提示音。基本要求:1、设计一个电子定时器,控制洗衣机作如下运转:定时启动正转20秒暂停10秒反转20秒暂停10秒定时未到回到“正转20秒暂停10秒”,定时到

2、则停止;2、若定时到,则停机发出音响信号;3、用两个数码管显示洗涤的预置时间(分钟数),按倒计时方式对洗涤过程作计时显示,直到时间到停机;洗涤过程由“开始”信号开始;4、三只LED灯表示“正转”、“反转”、“暂停”三个状态。一、总体设计思想1、基本原理洗衣机控制器的设计主要是定时器的设计。由一片FPGA和外围电路构成了电器控制部分。FPGA接收键盘的控制命令,控制洗衣机的进水、排水、水位和洗衣机的工作状态、并控制显示工作状态以及设定直流电机速度、正反转控制、制动控制、起停控制和运动状态控制。对芯片的编程采用模块化的VHDL (硬件描述语言)进行设计,设计分为三层实现,顶层实现整个芯片的功能。顶

3、层和中间层多数是由VHDL的元件例化语句实现。中间层由无刷直流电机控制、运行模式选择、洗涤模式选择、定时器、显示控制、键盘扫描、水位控制以及对直流电机控制板进行速度设定、正反转控制、启停控制等模块组成,它们分别调用底层模块。定时启动正转20s暂停10s反转20s暂停10s定时到停止2、设计框图 定时时间未到二、设计步骤和调试过程1、总体设计电路洗衣机控制器电路主要有五大部分组成,包括:减法计数器、时序控制电路、预置时间和编码电路、数码管显示、译码器组成。具体电路如下图所示: 2、模块设计和相应模块程序数码管显示实现数码管显示Library iee;Use ieee.std_logic_1164

4、.all;Entity encode is Port( Bcd : in std_logic_vector(3 downto o); A,b,c,d,e,f,g: out std_logic );End encode;Architecture rtl of encode isSignal temp:std_logic_vector(6 downto 0);Begin Table Bcd = temp; 0000= 1111110; 0001= 0110000 0010= 1101101 0011= 1111001 0100= 0110011 0101= 1011011 0110= 101111

5、1 0111= 1110000 1000= 1111111 1001= 1111011 End table;a=temp(6);b=temp(5);c=temp(4);d=temp(3);e=temp(2);f=temp(1);g=temp(0);end rtl时序电路Library ieee;Use ieee.std_logic_1164.all;Use ieee.std_logic_unsigned.allEntity shixu isPort(cp,en,rd:in std_logic;Q1,q2:out std_logic);End shixu;Architecture rtl of

6、shixu isBeginProcess(cp)Variable wash_time:integer range 0 to 19;Variable wash_time:integer range 0 to 9;Variable state:std_logic;Variable wash_time:integer :=21;Variable wash_time:integer :=9;BeginIf(en=0)wash_time:=19;wait_time:=9;state:=0;End if;if(en=0)then wash_time:=21;Q1=0;Q20)Then wash_time:

7、=20;state:=not state;End if; end if; end if;If(wash_time=0)then Q1=0;Q2=0;else if (state=0)Then Q1=1;Q2=0; else Q1=0;Q2=1;End if; end if;Else Q10 and start=1)then time_second:=time_second-1;else time_second:=59; end if;if(start=0)then time_remain0) then time_remain(3 downto 0)=time_remain(3 downto 0

8、)-start; time_remain(3 downto 0)0)then time_remain(7 downto 4) =time_remain(7 downto 4)-start; time_remain(7 downto 4)=time_remain(7 downto 4)-1; time_remain(3 downto 0)=1001;time_second:=59;end if; end if;else if (time_second=0 and time_second=1) if(time_remain=0)then time_is_up=0;else time_is_up=1

9、; time_second:=time_second-1;end if;end if;end if;end if;end process; end rtl;译码器library ieee;use ieee.std_logic_1164.all;entity decoder is port( Q1,Q2: in std_logic; REV,RUN,PAUSE: out std_logic );end decoder;architecture rtl of decoder issignal choose:std_logic_vector(1 downto 0);beginchoose(1)=q1

10、;choose(0)REV=0;RUN=0;PAUSEREV=0;RUN=1;PAUSEREV=1;RUN=0;PAUSEREV=0;RUN=0;PAUSE=0;end case;end process;REV=Q2;RUN=Q1;PAUSE=not(Q1 OR Q2);end rtl;3、仿真及仿真结果分析仿真信号图如下:仿真图洗衣机接通电源,按load设置洗涤时间按start、rd置为高电平洗衣机开始工作,当时钟第一个上升沿到达时run(正转功能)为高电平维持20s以后变为低电平而pause(暂停功能)随着时钟上升沿的到来变为高电平维持10s变为低电平,然后rev(反转功能)开始随着时钟上升沿的到来变为高电平工作维持20s后变为低电平,再停止pause置高,接下来电路一直重复上述工作,知道定时器计数结束。4、实验调试结果电路设计完成以后,按照预定设计,输入相应数据,三只LED灯按照设定时间规律间断性亮起,数码管也显示输入时间并按减数计时产生相应的数字显示,直到到达预定时间停止工作显示零,实验设计达到预期效果。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 单元课程

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com