EDA洗衣机控制器(共13页).doc

上传人:飞****2 文档编号:12172192 上传时间:2022-04-23 格式:DOC 页数:13 大小:269.50KB
返回 下载 相关 举报
EDA洗衣机控制器(共13页).doc_第1页
第1页 / 共13页
EDA洗衣机控制器(共13页).doc_第2页
第2页 / 共13页
点击查看更多>>
资源描述

《EDA洗衣机控制器(共13页).doc》由会员分享,可在线阅读,更多相关《EDA洗衣机控制器(共13页).doc(13页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、精选优质文档-倾情为你奉上一、总体设计思想1.基本原理从课程设计要求来看,要求实现电机的正传、反转、暂停,需要用 LED灯的状态来表示,当显示时间前20秒正传、暂停10秒、反转20秒、再暂停10秒,如此一来,周期恰好是60秒。洗衣机控制器的设计主要是定时器的设计。由一片FPGA和外围电路构成了电器控制部分。FPGA接收键盘的控制命令,控制洗衣机的进水、排水、水位和洗衣机的工作状态、并控制显示工作状态以及设定直流电机速度、正反转控制、制动控制、起停控制和运动状态控制。对芯片的编程采用模块化的VHDL (硬件描述语言)进行设计,设计分为三层实现,顶层实现整个芯片的功能。顶层和中间层多数是由VHDL

2、的元件例化语句实现。中间层由无刷直流电机控制、运行模式选择、洗涤模式选择、定时器、显示控制、键盘扫描、水位控制以及对直流电机控制板进行速度设定、正反转控制、启停控制等模块组成,它们分别调用底层模块。从秒脉冲出来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作。当秒计数器变为零的时候,去分钟计数器上面借数;与此同时,从十秒位转化出来的信号进入移位寄存器后,LED灯表示出电机运转状态;当用户设定的洗涤时间结束后,电路报警并清零;同时电机指示灯熄灭。2.设计框图首先开始启动,按照指令要求先正转20秒,然后暂停10秒,接着反转20秒,暂停1

3、0秒,如果定时时间没有达到依次进行以上操作。倘若定时时间达到就停止以上操作,停止运行。定时启动正转20s暂停10s反转20s暂停10s定 时 到停止 定时时间未到二、设计步骤和调试过程1、总体设计电路洗衣机控制器电路主要有五大部分组成,包括:减法计数器、时序控制电路、预置时间和编码电路、数码管显示、译码器组成。2、模块设计和相应模块程序数码管显示实现数码管显示Library iee;Use ieee.std_logic_1164.all;Entity encode is Port( Bcd : in std_logic_vector(3 downto o); A,b,c,d,e,f,g: ou

4、t std_logic );End encode;Architecture rtl of encode isSignal temp:std_logic_vector(6 downto 0);Begin Table Bcd = temp; 0000= ; 0001= 0010= 0011= 0100= 0101= 0110= 0111= 1000= 1001= End table;a=temp(6);b=temp(5);c=temp(4);d=temp(3);e=temp(2);f=temp(1);g=temp(0);end rtl;时序电路Library ieee;Use ieee.std_l

5、ogic_1164.all;Use ieee.std_logic_unsigned.allEntity shixu isPort(cp,en,rd:in std_logic;Q1,q2:out std_logic);End shixu;Architecture rtl of shixu isBeginProcess(cp)Variable wash_time:integer range 0 to 19;Variable wash_time:integer range 0 to 9;Variable state:std_logic;Variable wash_time:integer :=21;

6、Variable wash_time:integer :=9;BeginIf(en=0)wash_time:=19;wait_time:=9;state:=0;End if;if(en=0)then wash_time:=21;Q1=0;Q20)Then wash_time:=20;state:=not state;End if; end if; end if;If(wash_time=0)then Q1=0;Q2=0;else if (state=0)Then Q1=1;Q2=0; else Q1=0;Q2=1;End if; end if;Else Q10 and start=1)then

7、 time_second:=time_second-1;else time_second:=59; end if;if(start=0)then time_remain0) then time_remain(3 downto 0)=time_remain(3 downto 0)-start; time_remain(3 downto 0)0)then time_remain(7 downto 4) =time_remain(7 downto 4)-start; time_remain(7 downto 4)=time_remain(7 downto 4)-1; time_remain(3 do

8、wnto 0)=1001;time_second:=59;end if; end if;else if (time_second=0 and time_second=1) if(time_remain=0)then time_is_up=0;else time_is_up=1; time_second:=time_second-1;end if;end if;end if;end if;end process; end rtl;译码器library ieee;use ieee.std_logic_1164.all;entity decoder is port( Q1,Q2: in std_lo

9、gic; REV,RUN,PAUSE: out std_logic );end decoder;architecture rtl of decoder issignal choose:std_logic_vector(1 downto 0);beginchoose(1)=q1;choose(0)REV=0;RUN=0;PAUSEREV=0;RUN=1;PAUSEREV=1;RUN=0;PAUSEREV=0;RUN=0;PAUSE=0;end case;end process;REV=Q2;RUN=Q1;PAUSE=not(Q1 OR Q2);end rtl;3、仿真及仿真结果分析仿真信号图如下

10、:仿真图4、实验调试结果洗衣机接通电源,按load设置洗涤时间按start、rd置为高电平洗衣机开始工作,当时钟第一个上升沿到达时run(正转功能)为高电平维持20s以后变为低电平而pause(暂停功能)随着时钟上升沿的到来变为高电平维持10s变为低电平,然后rev(反转功能)开始随着时钟上升沿的到来变为高电平工作维持20s后变为低电平,再停止pause置高,接下来电路一直重复上述工作,知道定时器计数结束。电路设计完成以后,按照预定设计,输入相应数据,三只LED灯按照设定时间规律间断性亮起,表示“正转”、“反转”、“暂停”三个状态。数码管也显示输入时间并按减数计时产生相应的数字显示,直到到达预

11、定时间停止工作显示零,实验设计达到预期效果。三、结论及心得体会通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正地更好去理解知识,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟是我第一次做有关于EDA方面的设计,难免会遇到过各种各样的问题,首先是程序编写上有很多难点,其次是软件的使用以及仿真是存在的操作失误等等,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。此次课程设计,学到了很多课内学不到的东西,比如独立思考解决问题,出现差错的随机应变。让我能够对以前学过的知识进行一遍复习并且更深一步的了解。参考资料1 潘松著.EDA技术实用教程(第二版). 北京:科学出版社,2005.2 章彬宏.EDA应用技术.北京:北京理工大学出版社,20063 罗中华 杨戈.EDA与可编程实验教程.重庆:重庆大学出版社,2005 4 刘艳萍 李志军 高振斌 EDA实用技术及应用.北京:国防工业出版社.20055 周立功 EDA实验与实践.沈阳:北京航空航天大学出版社.北京:2007专心-专注-专业

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 教案示例

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com