单片机课程设计LCD显示屏.docx

上传人:1513****116 文档编号:96668939 上传时间:2024-02-25 格式:DOCX 页数:46 大小:585.96KB
返回 下载 相关 举报
单片机课程设计LCD显示屏.docx_第1页
第1页 / 共46页
单片机课程设计LCD显示屏.docx_第2页
第2页 / 共46页
点击查看更多>>
资源描述

《单片机课程设计LCD显示屏.docx》由会员分享,可在线阅读,更多相关《单片机课程设计LCD显示屏.docx(46页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、单片机原理及应用课程设计报告题目:LCD 显示设计院 系:机电与自动化学院专业班级:电气自动化技术 1101 班学生:包文峰学号:2 0 1 1 2 8 2 2 0 2 3指导教师:雷丹2023 年 12 月 16 日至 2023 年 12 月 27 日华中科技大学武昌分校制单片机原理及应用课程设计任务书一、设计题目LCD 显示设计二、设计主要容(1) 了解 12232A 点阵式LCD 液晶显示的构造、工作原理、 编程方法;SED1250的特性、部构造、各个管脚的功能,以及猎取字模的方法;编写一个完整的程序,能在 LCD上显示汉子。(2) 显示汉字“华中科技大学武昌分校及”,并实现显示容的翻屏

2、功能。(3) 按规撰写设计说明书,含硬件设计及软件设计。三、原始资料(1) LCD 显示的原理液晶是一呈液体状的化学物质,象磁场中的金属一样,当受到外界电场影响时,其分子会产生准确的有序排列。假设对分子的排列加以适当的掌握,液晶分子将会允许光线穿越。无论是笔记本电脑还是桌面系统,承受的 LCD 显示屏都是由不同局部组成的分层构造。位于最终面的一层是由荧光物质组成的可以放射光线的背光层。背光层发出的光线在穿过第一层偏振过滤层之后进入包含成千上万水晶液滴的液晶层。液晶层中的水晶液滴都被包含在细小的单元格构造中,一个或多个单元格构成屏幕上的一个像素。当 LCD 中的电极产生电场时,液晶分子就会产生扭

3、曲,从而将穿越其中的光线进展有规章的折射,然后经过其次层过滤层的过滤在屏幕上显示出来。(2) LCD 显示电路承受 12232A 点阵式 LCD 液晶显示模块,置SED1520 液晶显示掌握器,电路如图 1 所示。图 1LCD 显示电路(3) LCD 与单片机的连接如图 2图 2LCD 与单片机的连接图四、要求的设计成果1、依据掌握要求,分析 LCD 显示系统的硬件构造;2、分析 LCD 引脚功能及地址安排;3、在单片机试验箱上按要求显示汉子并进展实时演示。4、提交字数不低于 2023 字的设计说明书一份。五、进程安排学时时 间课程设计容安排第一周周一至第一周周二上午第一周周二下午至其次周周三

4、其次周周四下达设计任务;查阅资料,理解参考程序1.5 天进单片机试验室,理解硬件、编写程序,修改完善,6.5 天到达设计要求作品演示、撰写设计说明书、2 天至提交说明书并进展辩论其次周周五单片机试验室合计10 天六、主要参考资料1 广弟单片机根底:航空航天大学,20232 群芳微型计算机与接口技术:电子工业,20233 单片机综合仿真试验系统 Keil C 试验指导书:市雷迈特科技,20234 庆阳8051 单片机实践与应用机电一体化系统设计:化学工业,20235 朱定华单片微机原理与应用:清华大学,2023指导教师签名:2023 年 12 月 10 日目录1. 课程设计题目及要求11.1 课

5、程设计题目11.2 课程设计要求12. 课程设计主要容22.1 LCD 显示原理22.2 LCD 显示电路22.3 LCD 引脚说明32.4 SED1520 的根本原理42.5 SED1520 的指令系统52.6 LCD 与单片机的连接62.7汉字字模的猎取73. 软件设计93.1 程序流程93.2课程设计具体程序104. 课程设计总结30参考文献311. 课程设计题目及要求1.1 课程设计题目LCD 显示设计1.2 课程设计要求了解 12232A 点阵式 LCD 液晶显示的构造、工作原理、编程方法; SED1250 的特性、部构造、各个管脚的功能,以及猎取字模的方法;编写一个完整的程序,按要

6、求在LCD 上显示容。具体显示效果为:(1) 显示汉字:华中科技大学武昌分校 自己的。(2) 实现显示容的翻屏功能。拓展:实现显示容的滚动功能。2. 课程设计主要容2.1 LCD 显示原理将穿越其中的光线进展有规章的折射,然后经过其次层过滤层的过滤在屏幕上显示出来。2.2 LCD 显示电路承受12232A 点阵式LCD 液晶显示模块,置SED1520 液晶显示掌握器。LCD 显示电路如图2-1 所示。液晶是一呈液体状的化学物质,象磁场中的金属一样,当受到外界电场影响时, 其分子会产生准确的有序排列。假设对分子的排列加以适当的掌握,液晶分子将会 允许光线穿越。无论是笔记本电脑还是桌面系统,承受的

7、LCD 显示屏都是由不同局部组成的分层构造。位于最终面的一层是由荧光物质组成的可以放射光线的背光层。背光层发出的光线在穿过第一层偏振过滤层之后进入包含成千上万水晶液滴的液晶层。液晶层中的水晶液滴都被包含在细小的单元格构造中,一个或多个单元格 构成屏幕上的一个像素。当 LCD 中的电极产生电场时,液晶分子就会产生扭曲,从而2.3 LCD 引脚说明图 2-2 LCD 显示屏图 2-1 LCD 显示电路LCD 显示屏示意图122X32如图2-2 所示。序符号电平号功能1GND0v规律电源地2Vcc5v规律电源正3V0可调液晶显示驱动电源4A0H/LH:数据L:指令5R/WH/LH:读L:写6E1H,

8、HL主工作方式 IC 的使用信号7E2H,HL从工作方式 IC 的使用信号8NC未用9DB0H/L数据总线最低位010DB1H/L数据总线111DB2H/L数据总线212DB3H/L数据总线313DB4H/L数据总线414DB5H/L数据总线515DB6H/L数据总线616DB7H/L数据总线最高位72.4 SED1520的根本原理SED 为置液晶显示器掌握器,集行、列驱动器和掌握器与一体,广泛应用于小型液晶显示模块中,置2560 位显示RAM 区32 行 80 列,RAM 中的 1 位数据掌握液晶屏上一个像素的亮暗,“1”为亮,“0”为暗。11-61 列为左半屏;62-122 为右半屏。(2

9、) 每半屏页数安排全都。(3) 每页含8 行 80 列80 个字节。前61 列有效5每个汉字占16 行,即用2 页才能写完。6液晶屏上最多能显示两行汉字(静态时最多显示16 字)。(4) 每半屏为32 行,共4 页。2.5 SED1520的指令系统SED1520 的 13 条指令A0D7D6D5D4D3D2R/WD1D0指令名称掌握信号掌握代码复位0011100010显示开关设置0010101110/1地址排序设置0010100000(正向/1逆向体闲状态设置0010100100驱动/1不驱动占空比设置00101010001/16)/1(1/32)显示起始行设置页面地址设置00110L4L3L

10、2 L1L00-3100101110P1 P00-3列地址设置000C6C5C4C3C2启动改写方式0011100000完毕改写方式0011101110读取状态字01BUSY 00ADCON/OFFRESET00写显示数据10数据读显示数据11数据C1C00-792.6 LCD 与单片机的连接单片机与LCD 模块之间有四种根本操作: 写命令:R/W 接低电平即A7 为 0读状态:R/W 接高电平即A7 为 1写显示数据:A0读显示数据:A0接高电平接低电平写指令代码地址E1FA00HE2F800H读状态地址写显示数据地址读显示数据地址FA80HFA40H FACOHF880HF840H F8C

11、0H2.7 汉字字模的猎取在编写程序之前,我们必需要知道所显示汉字的字模,要得到我们所需要的汉字字模,我们可以通过有关的软件进展查询,得到其数据表。如“包”字:再如“文”字:再如“峰”字:显示主程序显示子程序3.1 程序流程3 软件设计初始化程序清屏幕子程序3.2 课程设计具体程序实现翻屏功能PD1EQU60; ;模块参数COLUMNEQU30H1EQU31H ;;页地址存放器CODE1EQU32H;;字符代码存放器COUNTEQU33H;;计数器COM EQU 20H DAT EQU 21H;;指令存放器;;数据存放器CWADD1 EQU 0fa00H;;写指令代码地址E1CRADD1 EQ

12、U 0fa80H;;读状态字地址E1DWADD1 EQU 0fa40H;;写显示数据地址E1DRADD1 EQU 0fac0H;;读显示数据地址E1CWADD2 EQU 0f800H;;写指令代码地址E2CRADD2 EQU 0f880H;;读状态字地址E2DWADD2 EQU 0f840H;;写显示数据地址E2DRADD2 EQU 0f8c0H ORG 0000HAG1:MOVSP,#60H;;读显示数据地址E2LCALL INT;;调用初始化子程序LCALL CLEAR MOV PD1,#3DH MOV 1,#02H;;调用清屏子程序;;;;页地址存放器置初值MOV COLUMN,#0H

13、;;列地址存放器置初值MOV CODE1,#00H;;字符代码存放器置初值LCALL CCW_PR MOV 1,#02H MOV COLUMN,#0fH MOV CODE1,#01H LCALL CCW_PR MOV 1,#02H MOV COLUMN,#1eH MOV CODE1,#02H;;显示“华”;;显示“中”LCALL CCW_PR;;显示“科” MOV 1,#2HMOV COLUMN,#2dH MOV CODE1,#03HLCALL CCW_PRMOV 1,#2H MOV COLUMN,#61 MOV CODE1,#04H LCALL CCW_PR MOV 1,#2H MOV CO

14、LUMN,#76 MOV CODE1,#05H LCALL CCW_PR MOV 1,#2H MOV COLUMN,#91 MOV CODE1,#06H LCALL CCW_PR MOV 1,#2H MOV COLUMN,#106 MOV CODE1,#07H LCALL CCW_PR MOV PD1,#3DH;;显示“技”;;显示“大”;;显示“学”;;显示“武“;;显示“昌“;;MOV 1,#00H;;页地址存放器置初值MOV COLUMN,#0H ;;列地址存放器置初值MOV CODE1,#08H;;字符代码存放器置初值LCALL CCW_PR MOV 1,#00H MOV COLUMN

15、,#0fH MOV CODE1,#09H LCALL CCW_PR MOV 1,#0H MOV COLUMN,#1eH MOV CODE1,#0aH;;显示“分”;;显示“校”LCALL CCW_PR;;显示“-”MOV 1,#0H MOV COLUMN,#2dH MOV CODE1,#0bHLCALL CCW_PR MOV 1,#0H MOV COLUMN,#61 MOV CODE1,#0cH LCALL CCW_PR MOV 1,#0H MOV COLUMN,#76 MOV CODE1,#0dH LCALL CCW_PR;;显示“包”;;显示“文”;;显示“峰”LCALL AG2;;延时显

16、示LCALL CLEAR;;清屏LCALL AG2LCALL AG2LCALL AG2;;清屏延时LJMP AG1;;调用显示程序SJMP $CCW_PR: MOV DPTR,#CCATB;;确定字符字模块首地址MOV A,CODE1 MOV B,#20H MUL ABADD A,DPL MOV DPL,A MOV A,B ADDC A,DPH MOV DPH,A PUSH COLUMN PUSH COLUMN;;取代码;;字模块宽度为32 个字节;;代码X32;;字符字模块首地址=字模库首地址+代码X32;;列地址入栈;;列地址入栈MOV CODE1,#00H;;代码存放器借用为间址存放器C

17、CW_1:MOV COUNT,#10H ;;计数器设定为16MOV A,1 ANL A,#03H ORL A,#0B8H MOV COM,A LCALL PR0 LCALL PR3 POP COLUMN;;读页地址存放器;;“或” 页地址设置代码;;写页地址设置指令;;取列地址值MOV A,COLUMN;;读列地址存放器CLR CSUBB A,#PD1 JC CCW_2MOV COLUMN,A MOV A,1 SETB ACC.3 MOV 1,A;;列地址-模块参数;;0 为左半屏显示区域E1;;0 为右半屏显示区域E2;;设置区域标志位;;“0”为E1,“1”为E2CCW_2:MOV COM

18、,COLUMN;;设置列地址值MOV A,1;;判区域标志以确定设置哪个掌握器JNB ACC.3,CCW_3LCALL PR3;;区域E2 LJMP CCW_4CCW_3:LCALL PR0 CCW_4:MOV A,CODE1;;区域E1;;取间址存放器值MOVC A,A+DPTR ;;取汉字字模数据MOV DAT,A MOV A,1JNB ACC.3,CCW_5;;写数据LCALL PR4;;区域E2 LJMP CCW_6CCW_5:LCALL PR1 CCW_6:INC CODE1INC COLUMN MOV A,COLUMNCJNE A,#PD1,CCW_7 CCW_7:JC CCW_8

19、MOV A,1;;区域E1;;间址存放器加1;;列地址存放器加1;;判列地址是否超出区域围;;未超出则连续;;超出则判是否在区域E2ACC.3,CCW_8;;在区域E2 则退出SETB ACC.3 MOV 1,A MOV COM,#00H LCALL PR3;;在区域E1 则修改成区域E2;;设置区域E2 列地址为“0”CCW_8:DJNZ COUNT,CCW_4;;当页循环MOV A,1;;读页地址存放器ACC.7,CCW_9;;判完成标志D7 位,“1”则完成退出INC ASETB ACC.7 CLR ACC.3 MOV 1,A;;否则页地址加“1”MOV CODE1,#10H;;间接存放

20、器设置为16LJMP CCW_1 CCW_9:RETccAtB:;;大循环db00h, 40h, 20h, 10h,0FCh, 03h, 40h, 20hdb10h,0FFh, 08h, 04h, 06h,0C0h, 00h, 00h;;显示“华” db04h, 04h, 04h, 04h, 05h, 04h, 04h,0FFhdb04h, 04h, 05h, 05h, 05h, 05h, 04h, 00hdb00h,0F8h, 08h, 08h, 08h, 08h, 08h,0FFh db08h, 08h, 08h, 08h, 08h,0FCh, 08h, 00h db00h, 03h, 0

21、1h, 01h, 01h, 01h, 01h,0FFhdb01h, 01h, 01h, 01h, 01h, 03h, 00h, 00hdb24h, 24h, 24h,0A4h,0FEh,0A3h, 22h, 00h db24h, 48h, 00h,0FFh, 00h, 80h, 00h, 00h db10h, 08h, 06h, 01h,0FFh, 00h, 01h, 02h db02h, 02h, 02h,0FFh, 01h, 01h, 01h, 00hdb10h, 10h, 10h,0FFh, 10h, 10h, 88h, 88h db88h,0FFh, 88h, 88h, 8Ch, 08

22、h, 00h, 00hdb04h, 44h, 82h, 7Fh, 01h, 80h, 81h, 46h db28h, 10h, 28h, 26h, 41h,0C0h, 40h, 00hdb20h, 20h, 20h, 20h, 20h, 20h,0A0h, 7Fh db0A0h, 20h, 20h, 20h, 20h, 30h, 20h, 00hdb00h, 40h, 40h, 20h, 10h, 0Ch, 03h, 00h db01h, 06h, 08h, 10h, 20h, 60h, 20h, 00hdb 40h, 30h, 11h, 96h, 90h, 90h, 91h, 96h db

23、90h, 90h, 98h, 14h, 13h, 50h, 30h, 00h db 04h, 04h, 04h, 04h, 04h, 44h, 84h, 7Eh;;显示“中”;;显示“科”;;显示“技”;;显示“大”;;显示“学”db06h, 05h, 04h, 04h, 04h, 06h, 04h, 00hdb20h, 20h, 24h, 24h, 24h,0E4h, 26h, 24hdb20h,0FFh, 20h, 22h, 2Ch, 20h, 20h, 00h;;显示“武” db20h, 60h, 3Fh, 20h, 20h, 1Fh, 11h, 11hdb10h, 03h, 1Ch,

24、20h, 40h, 80h,0E0h, 00hdb 00h, 00h, 00h, 7Fh, 49h, 49h, 49h, 49h db 49h, 49h, 49h, 7Fh, 80h, 00h, 00h, 00h db 00h, 00h,0FFh, 49h, 49h, 49h, 49h, 49h db 49h, 49h, 49h, 49h,0FFh, 01h, 00h, 00hdb 00h, 80h, 40h, 20h, 98h, 86h, 80h, 80h db 83h, 8Ch, 90h, 20h,0C0h, 80h, 80h, 00hdb 01h, 00h, 80h, 40h, 20h,

25、 1Fh, 00h, 40h db 80h, 40h, 3Fh, 00h, 00h, 01h, 00h, 00hdb10h, 10h,0D0h,0FFh, 90h, 10h, 88h, 48h db0A8h, 09h, 0Ah, 08h,0A8h, 4Ch,0C8h, 00hdb04h, 03h, 00h,0FFh, 80h, 81h, 40h, 40h db21h, 16h, 08h, 16h, 61h,0C0h, 40h, 00hdb 80h, 80h, 80h, 80h, 80h db 80h, 80h, 80h, 80h, 80h db 80h, 80h, 80h, 80h, 80h

26、db 00h, 00h, 00h, 00h, 00h db 00h, 00h, 00h, 00h, 00h db 00h, 00h, 00h, 00h, 00h db 00h, 00hdb 00h, 40h, 20h,0D0h, 48h, 4Fh, 48h, 48h;;显示“昌”;;显示“分”;;显示“校”;;显示“-”db0E8h, 48h, 08h,0FCh, 08h, 00h, 00h, 00h;;显示“包” db00h, 00h, 00h, 3Fh, 42h, 42h, 42h, 42hdb47h, 40h, 48h, 4Fh, 40h, 70h, 00h, 00hdb08h, 08h

27、, 08h, 18h, 68h, 88h, 09h, 0Ehdb08h, 08h,0C8h, 38h, 08h, 0Ch, 08h, 00h;;显示“文” db80h, 80h, 40h, 40h, 20h, 11h, 0Ah, 04hdb0Ah, 11h, 10h, 20h, 40h,0C0h, 40h, 00hdb00h,0F0h, 00h,0FFh, 00h,0F0h, 90h, 88h db4Fh, 54h,0A4h, 54h, 4Ch,0C4h, 40h, 00hdb00h, 1Fh, 10h, 0Fh, 08h, 1Fh, 00h, 14h db15h, 15h,0FFh, 15h

28、, 15h, 14h, 00h, 00hAG2:MOV R0,#0;;延时程序1S AG3:MOV TMOD,#10HMOV TH1,#3CH MOV TL1,#0B0H SETB TR1AG4:JBC TF1,AG5SJMP AG4AG5:INC R0CJNE R0,#0AH,AG3 RETINT:MOV COM,#0E2H;;初始化子程序,复位LCALL PR0LCALL PR3;;显示“峰”MOV COM,#0A4H LCALL PR0 LCALL PR3MOV COM,#0A9H LCALL PR0 LCALL PR3MOV COM,#0A0H LCALL PR0 LCALL PR3M

29、OV COM,#0C0H LCALL PR0 LCALL PR3MOV COM,#0AFH LCALL PR0 LCALL PR3RETCLEAR:MOV R4,#00H CLEAR1: MOV A,R4;;关闭休闲状态;;设置1/32 占空比;;正向排序设置;;设置显示起始行为第一行;;开显示设置;;清屏子程序,页面地址暂存器设置;;取页地址值ORL A,#0B8H MOV COM,A LCALL PR0 LCALL PR3 MOV COM,#00H LCALL PR0 LCALL PR3 MOV R3,#50H;;“或” 页面地址设置代码;;页面地址设置;;列地址设置为“0”;;一页清80

30、 个字节CLEAD2: MOV DAT,#00HLCALL PR1 LCALL PR4DJNZ R3,CLEAD2 INC R4;;显示数据为“0”;;页字节清零循环;;页地址暂存器加1CJNE R4,#04H,CLEAR1 ;;RAM 区清零循环RETPR0:PUSH DPLPUSH DPH;;写指令代码子程序E1MOV DPTR,#CRADD1 PR01:MOVX A,DPTRACC.7,PR01MOV DPTR,#CWADD1 MOV A,COMMOVX DPTR,A POP DPHPOP DPL RETPR1:PUSH DPLPUSH DPH;;写显示数据子程序E1MOV DPTR,#

31、CRADD1 PR11:MOVX A,DPTRACC.7,PR11MOV DPTR,#DWADD1 MOV A,DATMOVX DPTR,A POP DPHPOP DPL RETPR2:PUSH DPLPUSH DPH;;读显示数据子程序E1MOV DPTR,#CRADD1 PR21:MOVX A,DPTRACC.7,PR21MOV DPTR,#DRADD1 MOVX A,DPTRMOV DAT,A POP DPH POP DPL RETPR3:PUSH DPLPUSH DPH;;写指令代码子程序E2MOV DPTR,#CRADD2 PR31:MOVX A,DPTRACC.7,PR31MOV

32、DPTR,#CWADD2 MOV A,COMMOVX DPTR,A POP DPHPOP DPL RETPR4:PUSH DPLPUSH DPH;;写显示数据子程序E2MOV DPTR,#CRADD2 PR41:MOVX A,DPTRACC.7,PR41MOV DPTR,#DWADD2 MOV A,DATMOVX DPTR,A POP DPHPOP DPL RETPR5:PUSH DPLPUSH DPHMOV DPTR,#CRADD2 PR51:MOVX A,DPTRACC.7,PR21;;读显示数据子程序E2MOV DPTR,#DRADD2 MOVX A,DPTRMOV DAT,A POP

33、DPH POP DPL RETend拓展:实现上下滚屏功能PD1EQU60 COLUMNEQU30H 1EQU31H CODE1EQU32H COUNTEQU33H COM EQU 20HDAT EQU 21H CWADD1 EQU 0fa00H CRADD1 EQU 0fa80H DWADD1 EQU 0fa40H DRADD1 EQU 0fac0H CWADD2 EQU 0f800H CRADD2 EQU 0f880H DWADD2 EQU 0f840H DRADD2 EQU 0f8c0H ORG 0000HAG1:MOVSP,#60HLCALL INT LCALL CLEAR MOV P

34、D1,#3DHMOV 1,#02H MOV COLUMN,#0H MOV CODE1,#00H;;模块参数;;页地址存放器;;字符代码存放器;;计数器;;指令存放器;;数据存放器;;写指令代码地址E1;;读状态字地址E1;;写显示数据地址E1;;读显示数据地址E1;;写指令代码地址E2;;读状态字地址E2;;写显示数据地址E2;;读显示数据地址E2;;调用初始化子程序;;调用清屏子程序;;页地址存放器置初值;;列地址存放器置初值;;字符代码存放器置初值LCALL CCW_PR MOV 1,#02H MOV COLUMN,#0fH MOV CODE1,#01H LCALL CCW_PR MOV

35、1,#02H MOV COLUMN,#1eH MOV CODE1,#02H LCALL CCW_PR MOV 1,#2H MOV COLUMN,#2dH MOV CODE1,#03H LCALL CCW_PR MOV 1,#2H MOV COLUMN,#61 MOV CODE1,#04H LCALL CCW_PR MOV 1,#2H MOV COLUMN,#76 MOV CODE1,#05H LCALL CCW_PR MOV 1,#2H MOV COLUMN,#91 MOV CODE1,#06H LCALL CCW_PR MOV 1,#2H MOV COLUMN,#106 MOV CODE1,

36、#07H LCALL CCW_PR MOV PD1,#3DH MOV 1,#00H;;显示“华”;;显示“中”;;显示“科”;;显示“技”;;显示“大”;;显示“学”;;显示“武“;;显示“昌“;;;;页地址存放器置初值MOV COLUMN,#0H;;列地址存放器置初值MOV CODE1,#08H;;字符代码存放器置初值LCALL CCW_PR MOV 1,#00H MOV COLUMN,#0fH MOV CODE1,#09H LCALL CCW_PR MOV 1,#0H MOV COLUMN,#1eH MOV CODE1,#0aH LCALL CCW_PR MOV 1,#0H MOV COL

37、UMN,#2dH MOV CODE1,#0bH LCALL CCW_PR MOV 1,#0H MOV COLUMN,#61 MOV CODE1,#0cH LCALL CCW_PR MOV 1,#0H MOV COLUMN,#76 MOV CODE1,#0dH LCALL CCW_PRLCALL AG6 SJMP $CCW_PR: MOV DPTR,#CCATB MOV A,CODE1MOV B,#20H MUL AB;;显示“分”;;显示“校”;;显示“-”;;显示“包”;;显示“文”;;显示“峰”;;调用翻屏;;确定字符字模块首地址;;取代码;;字模块宽度为32 个字节;;代码X32X32A

38、DD A,DPLMOV DPL,A MOV A,B ADDC A,DPH MOV DPH,A PUSH COLUMN PUSH COLUMNMOV CODE1,#00H;;字符字模块首地址=字模库首地址+代码;;列地址入栈;;列地址入栈;;代码存放器借用为间址存放器CCW_1:MOV COUNT,#10H MOV A,1ANL A,#03H ORL A,#0B8H MOV COM,A LCALL PR0 LCALL PR3 POP COLUMNMOV A,COLUMN CLR CSUBB A,#PD1 JC CCW_2MOV COLUMN,A MOV A,1 SETB ACC.3 MOV 1,A;;计数器设定为16;;读页地址存放器;;“或” 页地址设置代码;;写页地址设置指令;;取列地址值;;读列地址存放器;;列地址-模块参数;;0 为左半屏显示区域E1;;0 为右半屏显示区域E2;;设置区域标志位;;“0”为E1,“1”为E2CCW_2:MOV COM,COLUMN;;设置列地址值MOV A,1 JNB ACC.3,CCW_3 LCALL PR3LJMP CCW_4 CCW_3:LCALL PR0;;判区域标志以确定设置哪个掌握器;;区域E2;;区域E1CCW_4:MOV A,CODE1;;取间址存放器值MOVC A,A+DPTR MOV DAT,A MOV

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 高考资料

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com