毕业设计(论文)OFDM通信系统基带数据.pdf

上传人:兮*** 文档编号:959659 上传时间:2019-09-13 格式:PDF 页数:107 大小:1.91MB
返回 下载 相关 举报
毕业设计(论文)OFDM通信系统基带数据.pdf_第1页
第1页 / 共107页
亲,该文档总共107页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《毕业设计(论文)OFDM通信系统基带数据.pdf》由会员分享,可在线阅读,更多相关《毕业设计(论文)OFDM通信系统基带数据.pdf(107页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、 毕业设计(论文)毕业设计(论文) 中文题目:中文题目:OFDM 通信系统基带数据 OFDM 通信系统基带数据 处理部分的 FPGA 实现 处理部分的 FPGA 实现 英文题目:英文题目:Implementing Baseband Data Processing Section on FPGA of an OFDM-based Communication System 学学 院:院: 电子信息工程学院专专 业:业: 通信工程 学生姓名:学生姓名: 李 想 学学 号:号: 02211070 指导教师:指导教师: 陶 成 2006 年年 6 月月 1 日 日 北京交通大学毕业设计(论文)成绩评议

2、题 目: OFDM 通信系统基带数据处理部分的 FPGA 实现 学 院: 电子信息工程学院 专业: 通信工程 学生姓名: 李 想 学号: 02211070 指导教师建议成绩: 评阅教师建议成绩: 答辩小组建议成绩: 答辩委员会意见: 最终成绩: 主管教学副院长或答辩委员会主席签字: 年 月 日 北京交通大学毕业设计(论文)任务书 题 目: OFDM 通信系统的设计与实现 适合专业: 通信工程 指导教师(签名) : 提交日期: 2006 年 3 月 1 日 学院: 电子信息工程学院 专业: 通信工程 学生姓名: 李想 学号: 02211070 毕业设计(论文)基本内容和要求: 1、熟悉通信相关方

3、面的知识,学习并掌握 OFDM 技术的原理。 2、熟悉 VHDL 语言,使用该语言进行数字电路(FPGA)设计。 3、设计并实现 OFDM 通信系统的调制、解调部分的数字电路。 4、采用实验板或自行设计电路进行调试,并采用相关仪器验证。 5、系统整体调试、优化,或就某一部分进行深入研究。 毕业设计(论文)重点研究的问题: 1、学习并掌握 OFDM 技术。 2、OFDM 调制、解调部分的工程设计与实现。 北京交通大学毕业设计(论文)任务书 2毕业设计(论文)应完成的工作: 1、查阅相关的中英文文献资料。 2、完成调制、解调软件部分的编写和仿真。 3、实现 OFDM 硬件部分的设计,并进行调试与验

4、证。 参考资料推荐: Shinsuke Hara and Ramjee Prased, MULTICARRIER TECHNIQUES for 4G Mobile COMMUNICATIONS, MA: Artech House, 2003. 其他要说明的问题: 北京交通大学毕业设计(论文)开题报告 1题 目: OFDM通信系统的设计与实现 学院: 电子信息工程学院 专业: 通信工程 学生姓名: 李 想 学号: 02211070 文献综述: 一、毕设题目背景 1、国内外的研究现状 OFDM 作为一种多载波的调制或者复用技术, 近几年来得到了国际上的广泛关 注。它采用正交技术以充分利用频谱的思想

5、,是科学且符合通信技术发展方向的。 随着电子技术和集成电路的发展,OFDM 技术已经开始崭露头角,成为了一些宽带 数据通信的标准,比如 DAB 和 HDTV,以及比较知名的无线局域网(Wireless LAN i.e. IEEE802.11)等。从 20 世纪 60 年代 OFDM 技术的提出至今,在基本的理论上 已经很成熟了,并且被预言为 3G 通信之后的主流复用技术1,具有很高的研究价 值。 国内外关于 OFDM 的研究方向大致可分为这样几个方面:一个是在理论上的 研究,以期能够进一步发挥 OFDM 的价值,提高系统性能,并指导工程实践,比 如动态选择子信道技术,用于降低噪声的干扰;另一个

6、方面是研究 OFDM 与其它 技术的结合,比如与扩频调制技术或者 CDMA 技术结合等;还有就是纯粹意义上 的 OFDM 如何实现,在硬件上如何做到最优化。 但是对于许多国内高等院校的大学本科学生来说,OFDM 还是一项高深的技 术, 即使是通信专业的学生也很难在四年中接触到它。 在研究生阶段才有 OFDM 的 课程,并且基本上停留在理论上,几乎没有硬件方面的设计与实现。但是在台湾省 一些大学的 OFDM 课程上,已经把实践提高与理论同等重要的高度上了,在讲述 理论课的同时即要求完成硬件部分2。 我认为这对于学习工科的学生来说是很重要 的。 2、毕设题目的研究意义与价值 幸运的,由于参加学院

7、SBH 的项目,我在大三年级接触到了 OFDM。但是因 为种种原因,最终没能完成原定的目标。但是通过这段时间了努力,使我对 OFDM 有了一定的了解,并产生了很强的兴趣。我希望能通过毕设的形式,完成这样一个 对自己来说很有挑战的题目。 相比国际研究领域的前沿,自己的能力肯定达不到那样的高度,也做不出那种北京交通大学毕业设计(论文)开题报告 2水平的东西。所以,我毕设的最终目标是完成一个普通的示意性的实现 OFDM 功 能的通信系统。但是我认为,选这个题目还是有意义和价值的。 首先,对于通信专业的学生来说,能够完成一个 OFDM 的通信系统,过程很 重要。在这个过程中,能够更好了理解 OFDM

8、技术,并最终实现一个完整的系统; 第二,这是一项重要的考验,检验自己四年来学习的知识和能力;第三,OFDM 项 目的实现目前来说在本科生范围内还是相对比较超前的,我在这个过程中积累的一 些经验也许会对以后的研究甚至教学工作有所帮助。第四,在这个系统中,如果一 些具体的数字模块(比如 FFT 等)的设计能够完成的话,对其它的一些项目中也有 帮助,因为可以参考或直接拿去使用。 二、毕设题目主要内容 1、系统框架 因为 OFDM 的基本理论已经很成熟了,所以通常的 OFDM 系统框架图已经是 确定的了, 基本大同小异。 系统框架图是指导我进行设计的主要依据。 如下图所示: 图1 OFDM通信系统框图

9、 2、系统要内容和一些关键技术的设计实现方案。 2.1、 FT 的硬件有多种方案,包括采用 DSP 芯片等,但是使用 FPGA 实 现是大致有两种:首先是使用 ALTERA 的 IP,这种方法相实现的关键技术方案 下面分别介绍通信系统中的主 FFT 方案 设计一个 F 其中速度最快的3。FFT 的 FPGA 实现现在已经并非一件很困难的事情了,可 以很容易找到关于 FFT 的 VHDL 代码。 另外也可以使用一些公司的 IP 核。 ALTERA 公司就有关于 FFT 的 IP。 所以我设想 FFT 的方案北京交通大学毕业设计(论文)开题报告 3对简.2、同步 OFDM 中的最重要的组成部分之一

10、。由于 OFDM 对于频率的偏移十分.3、传输方案 制和接收部分完成后,采用什么样的传输方案就显得很重要。其实字传输。这是最简单的办法,如果在 Quar其实A 器件输出模拟波形,这样 可以.4、PCB 板 系统的硬件部分需要设计一个 PCB 板。对我来说这是一个难点,以.5、系统接口 成的顺利的话,可以考虑设计通信系统的接口,使整个系统更具应单,但是需要学习如何使用;另外是自己写一个 FFT,相对稍微复杂一点,需 要对 VHDL 和器件的特点有所掌握。不过 FFT 可以写简单一点,比如我想可以写 一个 8 点的 FFT,应用在一个示意性的系统中。 2同步是 敏感,因此同步的实现就非常重要。相对

11、于 FFT 的实现来说,对于同步如何实现, 我觉得自己还没有太多感性的认识,只能想到在一帧中采用加入同步数字序列的方 法。同步的实现将会是我下个阶段的研究重点。 2当数字的调 选择传输方案还需要考虑信道的参数和特点等等。但是由于自己的水平有限,我计 划采用相对简单的传输方案,有以下几种。 第一种方法是采用导线连接直接进行数 tusII 的时域仿真通过的话,那基本上就可以肯定这种方案一定会成功。虽然这 样子就无法观查到频谱的正交性,使得精力全部投入在数字模块的调制和解调方 面,最终完成的是一个 OFDM 的 Modem,但是,这不失为一个最保险的简单方案。 另一种方法是采用无线的数字收发模块。现

12、在市场上有一些这类的模块。但是 意义不大。因为它只不过是把第一种的数字传输从有线变成无线,还是与 OFDM 关系不大。因为基本上不准备考虑这种方法。 第三种方法是标准的 D/A 方法,在输出端加上 D/ 观察到正交的 OFDM 频谱。但是这种方法相对更复杂,即使是采用有线传输, 在接收端也需要再进行 A/D 转换。 2最终实现 前我没有设计 PCB 的经验。考虑的 PCB 板的制作周期长,花费较高,因此希望在 这方面能够得到老师的指导。 2如果系统完 用性。比如设计一个单片机做控制发送字符串,或者采用串口与电脑相连并制作一 个 Windows 程序收发内容等4。 北京交通大学毕业设计(论文)开

13、题报告 4三、毕设现有基础 1、现有基础及寒假进展 寒假休息的时候,我也为毕业设计做了一些相关的准 备。 理 论 方 面 , 主 要 参 考 了 一 本 关 于 OFDM 技 术 的 MULTICARRIER TEC,主要是学习 ALTERA 公司的 IP Core。现在些硬件 的经、所需的研究条件和仪器 LTERA 的 FPGA 器件, 现在一些 Cyclone 的器件价 格不 提供免费的网络版, 虽然ALTERA 也提供了更大的便利。 正在 ALTERA 的一些 IP Core 加入件在系统模拟、分析和仿真的时候有很大的用处。现在我还没有 可用要用频谱仪来观察,因此希望能够使用实验室的频谱

14、 仪。 作硬件需要一些研究资金购买器件,我可以自己负担。但是希望在这方面能 够得 兄和老师更多的指导,通过自己的努力在之前的 SBH 项目中和在 HNIQUES for 4G Mobile COMMUNICATIONS 的书,以及其它一些材料,使我 进一步加深了对于理论方面的了解。现在虽然自己无法独立推导理论,但是达到了 可以看懂并理解参考资料的程度。 在寒假中我对 FFT 的实现进行了尝试 把手册等材料看完,并已经基本学会使用这个 Core。但是在进行仿真的时候, IFFT+FFT 的数据总还是不对,所以如果应用到实际中也还需要再学习。 在具体的实践方面,由于参加了去年的大学生电子设计竞赛,

15、积累了一 验。另外对于 ALTERA 器件的应用也有一些了解。 2在数字器件方面决定选用 A 算太高,而且能在中发买到,这是一个很方便的条件。 在设计 FPGA 所应用的 Quartus II 软件方面, ALTERA 网站 有些功能不能用,但是对于现有的设计已经足够了。我现在使用 Quartus II 5.1sp1 网络版5。 在 IP Core 方面, 了新的特性,可以免 License 进行下载。虽然下载后有使用时间上的限制(一 小时) ,但是对于实验室研究已经足够了5。因此我考虑在可能的情况下更多采用 ALTERA 的 IP。 MATLAB 软 的正版 MATLAB,但是如果毕设完成顺

16、利,时间还比较充裕的话,可能会需 要它做一些理论上的研究。 OFDM 的波形发出后需制 到老师的指导,减少不必要的浪费。 在毕设的过程中,我希望能够得到研究生师 和师兄们积累的经验,使我能够更快达到他们原来的水平,并能在此基础上有所提 高和创新。 北京交通大学毕业设计(论文)开题报告 5四、毕设题目的目标 可以把 OFDM 的题目分成一些子题目。又可以、毕设基本目标 完成 OFDM 题目最基本的目标,也是相对较简单的。如果 能够数字部分:FFT、编码、映射、同步,以及反变换的部 分。、D/A 器件,设计模拟电路。 实现硬件电路,并检验结果。 、毕设扩展部分 作 使 OFDM 通信系统更有实际作

17、用,能够传输一些字符之 类等课题就是同步的问题, 如何把同步做得更好并能应付时钟课题就是由于正交性导致的峰均比的问题,也值得深入研究,可分析 做一些 OFDM 的仿真,更好的与理论相结合,并道 子信道,可以更好地抵抗噪声的干扰。通过检测出误码将毕设题目的具体内容细化, 分为基础部分与研究部分两大块。基础部分是指最基本的 OFDM 调制解调部分, 这是成功完成毕设的底线,至少应该实现的部分。如果顺利的话,还可以利用时间 做一些深入的学习与创新,比如对 OFDM 的一些重要的问题(如同步和峰均比等) 做些专门的研究与设计6。毕竟 OFDM 技术在毕设阶段是无止境的。 1毕设的基本目标是 顺利完成了

18、话还可以继续做一些扩展。 1.1、OFDM 调制解调部分 包括所有的调制解调的 主要采用 VHDL 及 Quartus II 软件。采用软件仿真。 1.2、A/D、D/A 设计 选择合适型号的 A/D 1.3、硬件制作调试 完成 PCB 设计, 1.4、毕设报告与演示部分 22.1、传输接口设计制 传输接口部分是为了 等,也能使操作更方便。 2.2、收发不同时钟处理 OFDM 一个很重要的 偏差是一个值得研究的问题。 2.3、峰均比处理 OFDM 另一个 以通过编码的方案改进。 2.4、MATLAB 建模与理论如果有时间可以用 MATLAB 能分析已有的系统。 2.5、动态选择分配子信如果能够

19、实现动态分配 率高的子信道,然后将数据传输安排到其它信道上去。 北京交通大学毕业设计(论文)开题报告 6主要参考文献: 1 Shinsuke Hara and Ramjee Prased, MULTICARRIER TECHNIQUES for 4G Mobile COMMUNICATIONS, MA: Artech House, 2003. 2 中国台湾国立中正大学电机工程学系,正交分频多重进接技术研究所教学 课程课件,http:/www.ee.ccu.edu.tw/wl/ofdm/OFDMopendata.htm 3 潘松、黄继业、王国栋编著,现代 DSP 技术,西安电子科技大学出版社,

20、2003 年 4 龚建伟、熊光明编著,Visual C+/Turbo C 串口通信编程实践,电子工业 出版社,2004 年 5 6 王文博、郑侃编著,宽带无线通信 OFDM 技术,人民邮电出版社,2003 年 研究方案与计划: 根据目前的进度和目标,我设计了三种方案。当然,很可能计划得还不充分, 需要根据下一阶段的进度进行再调整。 1、方案一: (正常的计划) 二月到三月底:OFDM 硬件电路的软件完成并通过仿真。其中 FFT 一周时间, 编码一周,同步两周,剩余时间给串并变换及其它部分。 四月:完成 A/D、D/A 以及硬件电路。其中 A/D、D/A 选型测试一周,PCB 设 计一周,硬件

21、电路的调试与完成两周。 五月:做一些专题扩展。选一个毕设扩展部分的子题目去做。 六月:完成毕设报告、演示与答辩。 2、方案二: (比较顺利的计划) 二月到三月上旬:OFDM 硬件电路的软件完成并通过仿真。 到三月底:完成 A/D、D/A 以及硬件电路。 四、五月:更多地做一些专题扩展。 六月:完成毕设报告、演示与答辩。 3、方案三: (完成毕设最低的计划) 二月到三月底:OFDM 硬件电路的软件完成并通过仿真。 四月和五月:完成 A/D、D/A 以及硬件电路。 六月:完成毕设报告、演示与答辩。 北京交通大学毕业设计(论文)开题报告 7毕业设计(论文)进度安排: (正常进展情况下的时间安排) 序

22、号 安排 备注 毕业设计(论文)各阶段内容 时间1 O间给串并变换及其它部分。 FDM 硬件电路的软件完成并通过仿真。其中二月到三月底 FFT 一周时间,编码一周,同步两周,剩余时2 选B 设计一周,硬件电路的调完成 A/D、D/A 以及硬件电路。其中 A/D、D/A 型测试一周,PC四月 试与完成两周。 3 做一些专题扩展。选一个毕设扩展部分的子题 目去做。 五月 4 完成毕设报告、演示与答辩。 六月 指导教师意见: 指导教师签名: 审核日期: 年 月 日 北京交通大学毕业设计(论文)指导教师评阅意见 题 目: OFDM通信系统基带数据处理部分的FPGA实现 学 院: 电子信息工程学院 专业

23、: 通信工程 学生姓名: 李 想 学号: 02211070 毕业设计(论文)完成情况(包括设计图纸、说明书、实验报告、计算机软硬件、外文翻译及摘要、论文书写及规范化等)评价(50 分) : 毕业设计(论文)成果质量评价意见(30 分) : 学生工作态度和考勤情况评价(10 分) : 开题报告的评定成绩(10 分) : 总成绩: _指导教师 (签名): _日期: _年 月 日 北京交通大学毕业设计(论文)评阅教师评阅意见 题 目: OFDM通信系统基带数据处理部分的FPGA实现 学 院: 电子信息工程学院 专业: 通信工程 学生姓名: 李 想 学号: 02211070 毕业设计(论文)完成情况评

24、价(包括设计图纸、说明书、实验报告、计算机软硬件、外文翻译及摘要、论文书写及规范化等)(50 分) : 毕业设计(论文)成果质量评价意见(40 分) : 开题报告评价意见(10 分) : 评定成绩: 评阅人: 日期: 年 月 日 北京交通大学毕业设计(论文)答辩小组评议意见 题 目: OFDM通信系统基带数据处理部分的FPGA实现 学 院: 电子信息工程学院 专业: 通信工程 学生姓名: 李 想 学号: 02211070 毕业设计(论文)完成情况和成果质量(工作量、任务难度、专业理论 的运用、综合运用能力、资料的充足与可信情况、成果水平)评价意见 (80 分) : 答辩表现评价意见(20 分)

25、 : 评定成绩: 答辩组长: 日期: 年 月 日 北京交通大学毕业设计(论文) I中文摘要 中文摘要 正 交 频 分 复 用 ( OFDM , Orthogonal Frequency Division Multiplexing)是当前一种非常热门的通信技术。它即可以被看作是一种调制技术,也可以被看作是一种复用技术。由于它具有抗多径衰落和频谱利用率高的特点, 因此被广泛应用于高速数字通信领域, 比如应用于 IEEE 802.11a 无线局域网(WLAN)的物理层等等。 我的毕业设计的核心任务是: 采用 FPGA 来实现一个基于 OFDM 技术的通信系统中的基带数据处理部分,即调制解调器。其中发

26、射部分的调制器包括:信道编码(Reed-Solomon 编码),交织,星座映射,FFT 和插入循环前缀等模块。我另外制作了相应的解调器,可以实现上述功能的逆变换。 另外, 我还对 OFDM 技术, IEEE 802.11a 的标准文献, 基于 Simulink的 OFDM 模型和仿真,ALTERA 公司的技术和 IP Core 的使用等方面进行了研究。这些在文章中都有体现。 关键词:关键词:OFDM, FPGA, ALTERA 北京交通大学毕业设计(论文) IIAbstract Because of wireless environment where multipath maybe sign

27、ificant, Orthogonal Frequency Division Multiplexing (OFDM), a special form of multicarrier modulation (MCM), where a single data stream is transmitted over a number of lower rate subcarriers has recently received considerable attention for its robustness to multipath selective fading and high bandwi

28、dth efficiency. It can be seen as either a modulation technique or a multiplexing technique. The main work of my graduate design is to implement baseband data processing section on FPGA of an OFDM-based communication system. It contains Reed-Solomon channel coding (FEC), interleaver, constellation,

29、FFT and Prefix Cyclic parts. In addition, I also pay much attention to other aspects during the design. That is, the study of OFDM, IEEE 802.11a Standard, a demo model of OFDM based on Simulink, devices and IP Megacore of ALTERA corp., which are detailed in my paper. Key words: OFDM, FPGA, ALTERA 北京

30、交通大学毕业设计(论文) III目 录 目 录 中文摘要.I 外文摘要.II 前言前言.1 第一章 第一章 OFDM 技术介绍技术介绍.4 1.1 通信技术的发展.4 1.2 OFDM 技术的提出是必然的.6 1.2.1 无线通信的挑战6 1.2.2 多径效应的影响6 1.2.3 多载波技术.7 1.2.4 提高频谱利用率.8 1.2.5 OFDM 技术的定义.9 1.3 OFDM 的发展与应用.10 1.3.1 FFT促进了OFDM 的发展.10 1.3.2 OFDM的应用.10 1.4 OFDM的结构和各部分原理.11 1.4.1 OFDM的结构框图.11 1.4.2 星座映射.11 1.

31、4.3 串并变换和 FFT12 1.4.4 插入循环前缀.13 1.4.5 对于 OFDM 调制过程的理解. .13 1.5 小结.15 参考文献. .15 北京交通大学毕业设计(论文) IV第二章 第二章 802.11a 标准介绍标准介绍.17 2.1 802.11a 标准介绍.17 2.1.1 WLAN 和 802.11.17 2.1.2 IEEE 802.11 标准的获取.18 2.2 802.11a 部分内容介绍.18 2.2.1 802.11a 结构.19 2.2.2 PLCP子层的PPDU帧格式20 2.2.3 Preamble 的作用.20 2.2.4 附录 G, 一个 OFDM

32、 物理层数据编码的实例.21 2.3 基于 Simulink 的 802.11a 的 Demo 仿真模型.21 2.3.1 Demo模型和获取.21 2.3.2 模型介绍和实时仿真22 2.3.3 仿真模型的亮点.24 2.4 小结.25 参考文献26 第三章 第三章 FPGA和和 ALTERA.27 3.1 FPGA 技术的优势27 3.1.1 可编程技术.27 3.1.2 FPGA 的技术特点.28 3.1.3 FPGA 相比于 DSP 芯片的优势.28 3.1.4 FPGA 相比于 ASIC 技术的优势.30 3.1.5 对 FPGA 发展的预测.30 3.2 ALTERA 公司的理念.

33、31 3.2.1 免费的工程师培训.31 北京交通大学毕业设计(论文) V3.2.2 免费的设计软件32 3.2.3 OpenCore plus 技术32 3.2.4 技术支持与服务33 3.2.5 小节33 3.3 ALTERA 公司的技术34 3.4 小节.35 参考文献.35 第四章 第四章 ALTERA FFT MegaCore 使用指南使用指南36 4.1 FFT MegaCore 介绍36 4.2 FFT MegaCore应用流程37 4.2.1 下载和安装.37 4.2.2 在工程中插入 FFT MegaCore.37 4.2.3 IP Toolbench 的使用38 4.2.4

34、 配置参数39 4.2.5 生成 FFT MegaCore.39 4.2.6 在工程中应用 FFT MegaCore.40 4.2.7 编译和仿真.40 4.2.8 OpenCore plus 特性.41 4.2.9 购买 license 认证.41 4.3 各项具体参数说明.42 4.3.1 介绍.42 4.3.2 FFT 点数(Transform Length)43 4.3.3 数据位数和旋转因子43 4.3.4 I/O Data Flow 设置44 北京交通大学毕业设计(论文) VI4.3.5 FFT Engine Architecture. 45 4.3.6 复数乘法器实现46 4.3

35、.7 RAM选项46 4.4 FFT MegaCore 的管脚功能和时序47 4.5 FFT 变换过程中的指数.48 4.6 FFT MegaCore 的 MATLAB 仿真.49 4.7 小节.52 参考文献52 第五章 第五章 OFDM硬件设计具体细节硬件设计具体细节.53 5.1 设计理念.53 5.1.1 基于 IP 的设计理念.53 5.1.2 不执著于节约硬件资源的思想54 5.1.3 规范的 HDL 书写风格55 5.2 整体系统描述.56 5.2.1 发送端结构.56 5.2.2 接收端结构.58 5.3 各模块功能描述.59 5.3.1 各模块间统一的接口59 5.3.2 如

36、何设计一个连续数据流的系统.60 5.3.3 R-S编解码模块.61 5.3.4 R-S encoder输出缓冲.62 5.3.5 块交织器和解交织器62 5.3.6 填充数据零.63 5.3.7 星座映射和解映射64 北京交通大学毕业设计(论文) VII5.3.8 IFFT和FFT65 5.3.9 插入和移除循环前缀65 5.3.10 其它.65 5.3.11 关于 VHDL 原代码66 5.4 系统的验证66 5.4.1 系统编译情况.66 5.4.2 软件仿真67 5.4.3 FPGA 硬件验证.67 5.5 可以做得更好 (结束语) 68 参考文献68 附录一:部分附录一:部分 VHD

37、L 代码代码.70 附录二:外文翻译附录二:外文翻译.79 北京交通大学毕业设计(论文) 前 言 四年的大学时光很快就进入到了最后一个学期,我将在这个学期完成自己的毕业设计。在我看来,毕设对任何一个毕业生来说都应该是非常重要的。因为毕设需要通过自己四年来学到的知识来解决一个实际的问题,这是对自己这四年来综合能力的考验。毕设的水平也就代表了毕业生的水平,只有出色完成了毕设的人才能算做一个合格的毕业生。 正是有这样的思想,我非常珍惜这个考验自己的机会,花费了近半年的时间,认真地做好毕设中的每一个工作。尽管最后看来,毕设的作品仍然显得很简单,但是可以很负责地说,我已经尽到了自己的最大努力。 非常感谢

38、我的指导教师,陶成老师。在他组织的对本科生开展的科研项目中,我有机会在大三的时候接触到了 OFDM 这种前沿的通信技术。虽然因为当时我的时间和能力都有限,这个项目没有完成,但是它大大开阔了我的眼界。所以借着毕设的机会,我决定把这个题目做完。 应该说,OFDM 技术的实现还是非常有难度的,甚至对于一个研究生来说,也需要很长时间才能完成。但是对于学习通信专业的我来说,实现一个有难度的通信系统正是一个绝佳的考验自己的机会。所以我毫不犹豫地就选择了这个题目。 在完成这个题目的过程中,我遇到了许多的困难,也走了许多的弯路。但是现在回头再看看整个过程,正是在不断克服困难的同时,我也学到了许多新的知识,提高

39、了自己的能力。这些克服困难的过程对于我来说是一种宝贵的财富。 我最初的目标只是研究 OFDM 技术,并计划在掌握 OFDM 的原理后在 FPGA 上实现一个示意性的 OFDM 通信系统。 之所以是示意性的, 是因为我计划用最简单的参数来实现一个系统,而不是设计一个具有实际应用1北京交通大学毕业设计(论文) 能力的系统。关于 OFDM 这种技术本身,我参考了不少的资料,也有一定的收获,对于 OFDM 技术的介绍,我写在了第一章中。 开始的时候,我其实自己也不太清楚自己的目标究竟是什么。只是单纯地想要实现一个“OFDM 系统” 。但是随着对 OFDM 技术理解的不断深入,我也对自己工作的具体目标有

40、了一个明确地定位,那就是用 FPGA 实现基于 OFDM 技术的通信系统中基带部分的数据处理功能。 所以我又对最初的毕设题目进行了修改,变成了现在的这个题目,以期能够更好的反映出我所做的内容。 在毕设的过程中,另一个给我触动很大的是我查阅到了有关 IEEE 802.11a 的标准。 以前我也知道这个 WLAN 的标准是基于 OFDM 技术的,但是我从没想过可以从一开始就完全按照这个标准来设计一个系统,这样具有更大的实际价值。等到我再想按照 802.11a 来修改我的设计时,时间已经不够了。为此我觉得很遗憾,也许这是我经验不丰富的一个表现吧。所以我最终设计的 OFDM 系统还是只具有示意的性质,并不符合某项标准。但是我仍然认真学习了一下 802.11a 这个标准,关于这些内容我写在了第二章中。 我之所以是采用 FPGA 器件来实现 OFDM 技术,而不是 DSP 芯片或其它的器件, 是因为 FPGA 的出色性能。 对于 FP

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 技术资料

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com