电子信息专业.docx

上传人:太** 文档编号:94179739 上传时间:2023-07-23 格式:DOCX 页数:10 大小:28.41KB
返回 下载 相关 举报
电子信息专业.docx_第1页
第1页 / 共10页
电子信息专业.docx_第2页
第2页 / 共10页
点击查看更多>>
资源描述

《电子信息专业.docx》由会员分享,可在线阅读,更多相关《电子信息专业.docx(10页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、英文翻译届电子信息工程专业班级姓名学号指导教师二。一六年三月十日6相邻板材板角错位11钢直尺7阳角方正21直角检测尺8接缝直线度32拉5m线或拉 通线钢直尺9接缝高低差11钢直尺和塞尺10接缝宽度11钢直尺EDA的发展及VHDL的应用90s in 20 centuries, international last electronics and calculator technique more the forerunner,s nation, has been being actively investigating a new design method of the electronics

2、 electric circuit, and carried on an exhaustive change in the aspects of designing a method, tool wait, obtain huge success. At the design realm of the electronics technique, the application of programmable logic spare part(like CPLD, FPGA), have already got extensive universality, these spare parts

3、 brought tremendous vivid for the design of numerical system. These spare parts can pass a software plait a distance but as to its hardware structure and work the way carry on heavy Gou and make thus the design of hardware can like software design so convenient fast. The all these biggest changed a

4、traditional numerical method, design process of the system design and design idea and promoted the EDA technical quick development.The EDA is an electronics design automation(the Automation of the Electronic Design) of abbreviation, design (CAD) from the calculator assistance at the beginning of 90s

5、 in 20 centuries, calculator assistance manufacturing(CAM), calculator assistance test (CAT) and calculator lend support to the concept of engineering (CAE) a development since then. The EDA technique is to take calculator as tool, design at EDA software terrace up, use the hardware description lang

6、uage HDL completion a design a document, then is of oneself completed logic to edit and translate, turn Chien, partitioned by the calculator, comprehensive, excellent turn, set up, cloth line with imitate really, until for particular target chip of proper go together with to edit and translate, the

7、logic reflect to shoot with plait distance download etc. work. The EDA technical emergence, biggest raised efficiency and maneuverability of electric circuit design, eased to design of labor strength.These spare parts can pass a software plait a distance but as to its hardware structure and work the

8、 way carry on heavy Gou and make thus the design of hardware can like software design so convenient fast. The all these biggest changed a traditional numerical method, design process of the system design and design idea and promoted the EDA technical quick development. Make use of EDA tool, the elec

9、tronics designer can start design electronics system from the concept, calculate way, agreement. .etc., a great deal of work can pass calculator completion, and can design the electronics product is from the electric circuit, the function analyze compute of the whole process of design an IC landscap

10、e or PCB landscape on board auto processing completion.Use to the EDA concept or category very breadth now. Include in each realm of the machine, electronics, correspondence, aviation aerospace, chemical engineering, mineral, living creature, medical science, military. . . etc. , all there is EDA ap

11、plication. The EDA technique has already extensively used in each archduke department, the Qi business unit and research teaching section currently. For example in the airplane the manufacturing the process, from design, performance test and characteristic analytical until fly emulation, may involve

12、 an EDA technique.The EDA technique that this text point mainly to the design, PCB design of the electronics electric circuit and IC design. The EDA design can is divided into system class, electric circuit class and physics to carry out class. The EDA in common use software:The EDA tool pile up one

13、 after another and get into an our country currently and have the EDA software of extensive influence to have:MuitiSIM 7(the latest edition of original EWB), PSPICE, OrCAD, PCAD, Protel, Viewlogic, Mentor, Graphics,Synopsys, LSIIogic, Cadence, MicroSim, ISE, modelsim etc. These tools all have strong

14、er function, generally can used for a few aspects, for example a lot of softwares all can carry on an electric circuit design with imitate really, together entering can also carry on PCB to automatically set up cloth line, can output various net form a document with the third square software connect

15、.The VHDL English full name be the HardwareDescription Language of the Integrated Circuit of the Very-High-Speed, birth in 1982. At the end of 1987, the VHDL is confirm by IEEE and American Ministry of National Defense to describe language for the standard hardware. Announced VHDL standard edition f

16、rom the IEEE, IEEE-1076 (call 87 versions) after, the each EDA company released own VHDL design environment one after another, or declared that the own design tool can connect with VHDL. Henceforth the VHDL designed realm to get to extensively accept in the electronics, and gradually replaced an ori

17、ginally not- standard hardware description language. In 1993, the IEEE carried on to revise to the VHDL, describe ability to up expand a VHDL contents from higher abstract layer and the system, announced the VHDL of new edition, namely IEEE standard of 1076-1993 editions, . (call 93 versions)Now, VH

18、DL and Verilog are the industrial standard hardware description of the lEEEs language, again arrive support of numerous EDA companies, at electronics engineering realm, have become in general use hardware to describe language in fact. There is expert think, in the new century in, the VHDL will start

19、 to undertake a greatly part of numerical system design mission at the Verilog language. The VHDL language is a kind of deluxe language which useds for an electric circuit design. It expects to appear after the 80s of. BE at the beginning come out by American Ministry of National Defense development

20、 to provide the American solider with the credibility which uses to raise a design with cut 1 kind of development period to use the scope smaller design language.All of VHDL Englishes write BE:The Descriptiong Language of the VHSIC(the Speed Integrated of the Very High Circuit) Hardware. Translating

21、 into Chinese is soon extremely high the description language of the integrated circuit hardware. So it of the application mainly is an application in the design of numerical electric circuit. Currently, it is in the application most in China is the design which uses in the FPGA/CPLD/EPLD. Certainly

22、 in some units with stronger real strenght, it is also use to design ASIC.The VHDL mainly useds for the structure, behavior which describes numerical system, function with connect. In addition to implying many languages sentence which have a hardware characteristic, VHDL languages forms and descript

23、ion style and sentence construction are very similar at general calculator deluxe language. VHDL procedure structure characteristics is an engineering design, or call that the design entity(can be a component, an electric circuit mold piece or a system) is divided into exterior(or call but part, and

24、 port) with inner part(or call to can,t see part), since involve internal function and calculate way of entity to complete part of. At to 1 designed entity to define exterior interface after, once it internal development completion after, other designs can directly adjust to use this entity. This ki

25、nd of will design entity to be divided into a little bit basic VHDL system that is a VHDL system inside the concept of outside part design design of a little bit basic and other hardware describe the language compare and the VHDL has a following characteristics: The function is strong and the design

26、 be vivid.The VHDL has the function strong language structure, can describe a complicated logic control with the simple and direct and explicit source code.It has a multi-layer design description function, in multiplelayers thin turn, finally directly born electric circuit class description.The VHDL

27、 supports synchronous electric circuit, differences tread electric circuit with random the design of electric circuit, this be the other hardware description although the language can,t compare to.The VHDL still supports various design method, since support from the bottom upward design, support aga

28、in from the design of crest declivity;Since the support mold piece turns a design, support layer,s turn a design again. Support extensively and be easy to a modification.Because the VHDL has already become IEEE standard the norm of hardware description language, most EDA tools almost support VHDL cu

29、rrently, this is VHDL of further expansion with extensively applied lay foundation. In the design process of the hardware electric circuit, the main design document is the source code which writes with the VHDL, the VHDL easily reads with the structure turn, so be easy to a modification design.The s

30、trong system hardware describes ability.The VHDL has a multi-layer design description function, since can describe system class electric circuit, can describe door class electric circuit again. And description since can adopt a behavior description, deposit a machine to deliver description or struct

31、ure description, can also adopt the hybrid description of threes mixture. Moreover, VHDL support is inertial to delay and deliver to delay, can also accurately build up hardware electric circuit model. VHDL support prepare definite of with from definition of data type, bring hardware description a b

32、igger freedom degree, make design the personnel can expediently establish the system model of high time. The independence is at the design of spare part, have nothing to do with the craft. Don,t need to consider a choice completion the spare part of design first while designing a personnel to carry

33、on a design with the VHDL, can concentrate energy to carry on design of excellent turn. When the design description complete after, can carry out its function with various different spare part structure. Very strong transplantation ability. The VHDL is a kind of hardware description for standardize

34、language, the same of design description can be support by the different tool and make to design to describe of the transplantation make possible.Be easy to a share and reply to use. The VHDL adoption can build up various mold piece that can again make use of according to the design method of databa

35、se (Library). These canned in advance design or use to design a medium backup mold a piece before and depositted these to the database in, can be in laterly of the design carry on replying to use, can make the design result be design the personnel,s to carry on exchanges and share, decrease hardware

36、 electric circuit design.(1)compared with other hardware description languages, the VHDL have stronger behavior description ability, come to a decision him to become a system design realm the best hardware a description language thus. The strong behavior description ability is to avert from concrete

37、 spare part structure and describe and design important assurance of large-scale electronics system from the logic behavior.(2) the VHDL be abundant of imitate true language sentence and database function, make in any big system of the design can inspect a function possibility of design the system i

38、n early days, can carry on imitating true emulation to the design at any time.(3)the ability and procedure structure of the behavior description with lexical VHDL come to a decision the decomposition that he has to support a large-scale design with have already have design of again make use of funct

39、ion. Meet the market demanding large-scale system efficiently, the completion of the high speed has to include many people the several generation hair set eventogether and abreast works and then can carry out.(4) for use the design of an assurance of VIIDL completion, can make use of EDA tool to car

40、ry on logic comprehensive with excellent turn, and auto of the VHDL describe the design change into the door class net form.(5)the description of VHDL to design have opposite and independent, the design can not understand the structure of hardware and need not manage the target spare part that the e

41、nd design carry out, either is what, but carry on an independent design.Founded in 1981, this year has entered the Mentor of 25 years, is the oldest in the three major electronic design automation (EDA) vendors, and turnover ahead of a competitor four times, in addition to Mentor system design tools

42、, market share, ranking first in the world, especially in the PCB layout, Mentor strengths which also ranked first in the world, its turnover is a full 1. 5 times the second supplier. To assist customers in China audiovisual application-specific markets, Technical Support Mentor the largest part of

43、human input (in the past each year is almost more than three times the rate of growth) in order to strengthen the ability of the Physical the Design and Functional Architecture ; and for the continued development of database management tool is also spared no effort in shipment volume growth of aroun

44、d 20% in the past five years, 15% of the revenue progress, is the fastest-growing EDA manufacturers.20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方 法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编 程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极 大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设 计可以如同软件设计那样方便快捷。这一切极大

45、地改变了传统的数字系统设计方法、设计过程和设 计观念,促进了 EDA技术的迅速发展。EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算 机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的 概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL 完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真, 直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了 电路设计的效率和可操作

46、性,减轻了设计者的劳动强度。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如 同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念, 促进了 EDA技术的迅速发展。利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算 机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算 机上自动处理完成。现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、 医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研

47、教学部 门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到 EDA技术。本文所指的EDA技术,主要针对电子电路设计、PCB设计和IC设计。EDA设计可分为系统级、电路级和物理实现级。EDA常用软件:EDA工具层出不穷,目前进入我国并具有广泛影响的EDA软件有:multiSIM7(原 EWB 的最新版本)、PSPICE、0rCAD PCAD Protel Viewlogic Mentor Graphics Synopsys LSIIogic Cadence、MicroSim, ISE, modelsim等等。这些工具都有较强的功能,一般可用于几 个方面,例如很

48、多软件都可以进行电路设计与仿真,同进还可以进行PCB自动布局布线,可输出多 种网表文件与第三方软件接口。2、VHDL 的英文全名是 Very-High-Speed Integrated Circuit HardwareDescription Language, 诞生于1982年。1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言。自IEEE公布 了 VHDL的标准版本,IEEE-1076 (简称87版)之后,各EDA公司相继推出了自己的VHDL设计环境, 或宣布自己的设计工具可以和VHDL接口。此后VHDL在电子设计领域得到了广泛的接受,并逐步取 代了原有的非标准的硬件描述语言

49、。1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统 描述能力上扩展VHDL的内容,公布了新版本的VHDL,即IEEE标准的1076T993版本,(简称93 版)。现在,VHDL和Verilog作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持, 在电子工程领域,已成为事实上的通用硬件描述语言。有专家认为,在新的世纪中,VHDL于Verilog 语言将承担起大部分的数字系统设计任务。VHDL语言是一种用于电路设计的高级语言。它在80年代的后期出现。最初是由美国国防部开 发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范围较小的设计语言。VIIDL 的英文全写是:VHSIC (Very High Speed Integrated Circuit) Hardware Descriptiong Language.翻译成中文就是超高速集成电路硬件描述语言。因此它的应用主要是应用在数字电路的 设计中。目前,它在中国的应用多数是用在FPGA/CPLD/EPLD的设计中。当然在一些实力较为

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 应用文书 > 解决方案

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com