VHDL常见错误集锦.pdf

上传人:qwe****56 文档编号:93813741 上传时间:2023-07-14 格式:PDF 页数:8 大小:961.12KB
返回 下载 相关 举报
VHDL常见错误集锦.pdf_第1页
第1页 / 共8页
VHDL常见错误集锦.pdf_第2页
第2页 / 共8页
点击查看更多>>
资源描述

《VHDL常见错误集锦.pdf》由会员分享,可在线阅读,更多相关《VHDL常见错误集锦.pdf(8页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

VHDL 编程中常见的 20 种错误:1、在定义实体前没有使用库和程序包:2、缺少实体定义:3、文件和实体名不一:文件名为 count10,实体名为 cnt10;4、缺少 END IF 语句:5、缺少 THEN:6、语句末尾缺分号:7、变量定义的位置出错:变量只能在进程或函数内部定义;8、端口和信号量定义的类型不一致:9、信号量定义位置错误:10、变量赋值错误:应该用:=进行赋值;11、信号量赋值错误:应该用=进行赋值;12、未调用 UNSIGNED 程序包:不能实现不同类型的量相加13、DOWNTO 不可分开写:14、CASE 语句分支条件考虑不完全:多余情况要用 OTHERS15、使用端口的名称与所定义端口名称不相符:16、缺少 END PROCESS 语句:17、缺少 IS18、未将信号量的值传递给输出端口:19、结构体缺少 BEGIN 语句:20、ELSIF 拼写错误:21、逻辑变量值要加引号22 当前文件和当前工程不符合,将得不到正确结果。23 实体名与结束名不匹配:24 不能将文件置于各个硬盘的根目录下:

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 生活休闲 > 休闲娱乐

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com