数字逻辑第八讲编码器和译码器.ppt

上传人:s****8 文档编号:93807342 上传时间:2023-07-13 格式:PPT 页数:33 大小:1,000KB
返回 下载 相关 举报
数字逻辑第八讲编码器和译码器.ppt_第1页
第1页 / 共33页
数字逻辑第八讲编码器和译码器.ppt_第2页
第2页 / 共33页
点击查看更多>>
资源描述

《数字逻辑第八讲编码器和译码器.ppt》由会员分享,可在线阅读,更多相关《数字逻辑第八讲编码器和译码器.ppt(33页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、第八讲第八讲 编码器和译码器编码器和译码器编码器编码器编码器编码器编码:用代码表示特定对象的过程。编码:用代码表示特定对象的过程。编码器:实现编码的逻辑电路。编码器:实现编码的逻辑电路。二进制编码的原则:用二进制编码的原则:用n位二进制代码可以表示位二进制代码可以表示2n个信号个信号,对对N个信号编码时,应由个信号编码时,应由2nN来确定编码位数来确定编码位数n。1.二进制编码器:用二进制编码器:用n位二进制代码对位二进制代码对2n个信号进行编码个信号进行编码的电路。的电路。8-3编码器电路:编码器电路:8个输入信号互斥,且高电平有效。个输入信号互斥,且高电平有效。3位二进制编码器位二进制编码

2、器真真值值表表输输入入8个个互互斥斥的的信信号号输输出出3位位二二进进制制代代码码2.二二十进制编码器十进制编码器 将将09十个十进制数转换为二进制代码的电路。十个十进制数转换为二进制代码的电路。下图为下图为8421BCD编码器。编码器。输输入入10个个互互斥斥的的数数码码输输出出4位位二二进进制制代代码码 当编码器某一输当编码器某一输入信号为入信号为1且其它输且其它输入信号为入信号为0时时,有一组有一组数码输出。如数码输出。如I7=1时时Y3Y2Y1Y0=0111。输。输出数码各位的权从高出数码各位的权从高位到低位分别为位到低位分别为8,4,2,1,因此是一个,因此是一个8421BCD码编码

3、器。码编码器。从编码表可以看从编码表可以看出,该编码器输入信出,该编码器输入信号号I0I9也是互斥的。也是互斥的。3.优先编码器优先编码器 允许同时输入几个编码信号,而电路只对其中优先级允许同时输入几个编码信号,而电路只对其中优先级别最高的信号进行编码。下图为别最高的信号进行编码。下图为8-3优先编码器优先编码器74LS148的的逻辑图。逻辑图。集成集成3位二进制优先编码器位二进制优先编码器74LS148集成集成3位二进制优先编码器位二进制优先编码器74LS148的级联的级联16线线-4线优先编码器线优先编码器8421 BCD码优先编码器码优先编码器真值表真值表逻辑表达式逻辑表达式逻辑图逻辑图

4、集成集成10线线-4线优先编码器线优先编码器译码器译码器译码器译码器译码是编码的逆过程,是组合逻辑电路的一个重要器件译码是编码的逆过程,是组合逻辑电路的一个重要器件。译码:将表示特定意义信息的二进制代码翻译出来。译码:将表示特定意义信息的二进制代码翻译出来。译码器:实现译码功能的逻辑电路;译码器:实现译码功能的逻辑电路;二进制译码原则:二进制译码原则:用用n位二进制代码可以表示位二进制代码可以表示2n个信号,所个信号,所以对以对n位代码译码时,应由位代码译码时,应由2n N来确定译码信号位数来确定译码信号位数N。译码器按用途可分为下列三类:译码器按用途可分为下列三类:变量译码器:编码器的逆过程

5、,用来表示输入变量的状变量译码器:编码器的逆过程,用来表示输入变量的状 态。一般是以较少的输入变为较多输出的器件,通常包态。一般是以较少的输入变为较多输出的器件,通常包 含含2n译码和译码和842BCD码译码两类。码译码两类。码制变换译码器:用于实现各种编码之间的转换,如码制变换译码器:用于实现各种编码之间的转换,如 BCD码之间的转换,码之间的转换,8421码转换为余码转换为余3码等。码等。数字显示译码器:主要解决将二进制数显示成对应的十数字显示译码器:主要解决将二进制数显示成对应的十 进制或十六进制数的问题,一般可分为进制或十六进制数的问题,一般可分为LED译码驱动器译码驱动器 和和LCD

6、译码驱动器两类。译码驱动器两类。1.二进制译码器二进制译码器 将输入二进制代码译成相应输出信号的电路。将输入二进制代码译成相应输出信号的电路。译码器有输出高电平有效和输出低电平有效两中类型。译码器有输出高电平有效和输出低电平有效两中类型。输出高电平有效时,每个输出对应输入的一个最小项;输出输出高电平有效时,每个输出对应输入的一个最小项;输出低电平有效时,每个输出对应输入的一个最小项的非。低电平有效时,每个输出对应输入的一个最小项的非。设二进制译码器的输入端为设二进制译码器的输入端为n个,则输出端为个,则输出端为2n个,且对个,且对应于输入代码的每一种状态,应于输入代码的每一种状态,2n个输出中

7、只有一个为个输出中只有一个为1(或为(或为0),其余全为),其余全为0(或为(或为1)。)。二进制译码器可以译出输入变量的全部状态,故又称为二进制译码器可以译出输入变量的全部状态,故又称为变量译码器。变量译码器。3位二进制译码器位二进制译码器输输入入:3位二进制代码位二进制代码 输输出出:8个互斥的信号个互斥的信号 真值表真值表逻辑表达式逻辑表达式逻辑图逻辑图电路特点:与门组成的阵列电路特点:与门组成的阵列集成二进制译码器集成二进制译码器74LS138功能扩展:功能扩展:2片片74LS138组成组成4-16线译码器线译码器当当E=1时,两个译码器均不时,两个译码器均不工作,输出都为高电平。工作

8、,输出都为高电平。当当E=0时,译码器工作。时,译码器工作。当当A3=0时,时,1号片工作号片工作,输输出由输入二进制代码出由输入二进制代码A2A1A0决定。决定。当当A3=1时,时,1号片不工作号片不工作,输出全为高电平输出全为高电平1。2号片号片工作,输出由输入二进制工作,输出由输入二进制代码代码A2A1A0决定。决定。2.二二-十进制译码器十进制译码器 将将4位位BCD码的十组代码翻译成码的十组代码翻译成09十个对应输出信十个对应输出信号的电路。有号的电路。有4个输入端、个输入端、10个输出端,所以又称为个输出端,所以又称为4-10线译码器。线译码器。真值表真值表逻辑表达式逻辑表达式将与门换成与非门,则输出为反变量,即为低电平有效。将与门换成与非门,则输出为反变量,即为低电平有效。集成集成8421 BCD码译码器码译码器74LS42

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 生活休闲 > 生活常识

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com