基于FPGA的UART设计(完整资料).doc

上传人:教**** 文档编号:91703871 上传时间:2023-05-27 格式:DOC 页数:86 大小:2.01MB
返回 下载 相关 举报
基于FPGA的UART设计(完整资料).doc_第1页
第1页 / 共86页
基于FPGA的UART设计(完整资料).doc_第2页
第2页 / 共86页
点击查看更多>>
资源描述

《基于FPGA的UART设计(完整资料).doc》由会员分享,可在线阅读,更多相关《基于FPGA的UART设计(完整资料).doc(86页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、基于FPGA的UART设计(完整资料)(可以直接使用,可编辑 优秀版资料,欢迎下载)郑州轻工业学院课程设计说明书题目:基于FPGA的UART设计姓名:王鹏飞院(系):电子信息工程学院专业班级:电子信息工程13-01 学号: 5413013035 指导教师:杜海明成绩:时间:016年6月2日至206年6月8日郑州轻工业学院课 程 设 计 任务 书题目基于FGA的AT设计专业、班级电子信息工程13-01学号 35姓名 王鹏飞 主要内容、基本要求、主要参考资料等:主要内容:要求学生使用硬件描述语言描述硬件功能,利用GA并采用模块化设计方法设计UART(通用异步收发器)的各个模块。其中包括波特发生器,

2、程序控制器,UART数据接收器和UART数据发送器,本文采用的外部时钟为48MHZ,波特率为9600.在软件上进行设计、编译和仿真。基本要求:1、 掌握FGA 的程序设计方法. 2、掌握硬件描述语言语法. 、程序设计完成后要求在软件中实现功能仿真.主要参考资料:1、夏宇闻 Vriog数字系统设计教程M.北京:北京航空航天大学出版社,22、潘松,王国栋。VDL实用教程M.成都:电子科技大学出版社,200。完 成 期 限:2016。6212016。68指导教师签名:课程负责人签名:2016年6月 18日基于A的URT设计摘要UAT作为S232协议的控制接口得到了广泛的应用,将UART的功能集成到A

3、芯片中,可使整个系统更为灵活、紧凑,减小整个电路的体积,提高系统的可靠性和稳定性.提出了一种基于FPGA的UAT的实现方法,具体描述了发送、接收等模块的设计,恰当使用了有限状态机,实现了FA上的UART的设计,给出仿真结果。关键词GA URT模块化有限状态机目 录 FPA与UART简介1.1PG介绍1。 UAR简介2 URT工作原理及功能设计32.1RT工作原理32UART功能设计32.2 波特率发生器设计42.2 发送器设计522.3 接收器设计5仿真总结9参考文献101 PGA与RT简介1.1 FPA介绍FPGA(FldProgrmleGaeAry),即现场可编程门阵列,它是在AL、CL等

4、可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASI)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点.FGA主要特点:1)采用FPGA设计SC电路(专用集成电路),用户不需要投片生产,就能得到合用的芯片。2)PG可做其它全定制或半定制SIC电路的中试样片.)FG内部有丰富的触发器和IO引脚.)FPGA是ASI电路中设计周期最短、开发费用最低、风险最小的器件之一。) FP采用高速CS工艺,功耗低,可以与S、TT电平兼容。可以说,FPG芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。FA是由存放在片内RAM中的程序来设置其工作状态

5、的,因此,工作时需要对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。加电时,GA芯片将EPRM中数据读入片内编程R中,配置完成后,FGA进入工作状态。掉电后,FPG恢复成白片,内部逻辑关系消失,因此,PA能够反复使用.FPG的编程无须专用的PG编程器,只须用通用的EPROM、PROM编程器即可。当需要修改FPGA功能时,只需换一片PR即可.这样,同一片FPA,不同的编程数据,可以产生不同的电路功能。因此,FGA的使用非常灵活。1.2 UAT简介通用异步收发器(AT)是一种短距离串行通信接口,主要用于计算机、微处理器与小型通信网络之间的短距离通信。目前通信接口技术已发展成

6、为硬件和软件相结合的综合性技术,在嵌入式系统设计中,异步串行通信接口已成为必不可少的一部分。随着FPGA技术和嵌入式系统的发展,在单芯片上可以实现多种功能,虽然目前许多器件已经把UAR集成在内,但是要求用单一芯片实现处理器和控制器等复杂功能,同时需要串行通信场合,采用高密度、大容量FGA器件来设计UART具有很高的实用价值。计算机内部采用并行数据,不能直接把数据发到Modem,必须经过URT整理才能进行异步传输,其过程为:CP先把准备写入串行设备的数据放到ART的寄存器(临时内存块)中,再通过FIFO(i Inpu rst Outut,先入先出队列)传送到串行设备,若是没有IO,信息将变得杂乱

7、无章,不可能传送到Modm.它是用于控制计算机与串行设备的芯片。有一点要注意的是,它提供了R-232C数据终端设备接口,这样计算机就可以和调制解调器或其它使用RS22C接口的串行设备通信了。作为接口的一部分,UAT还提供以下功能:将由计算机内部传送过来的并行数据转换为输出的串行数据流.将计算机外部来的串行数据转换为字节,供计算机内部并行数据的器件使用。在输出的串行数据流中加入奇偶校验位,并对从外部接收的数据流进行奇偶校验。在输出数据流中加入启停标记,并从接收数据流中删除启停标记。处理由键盘或鼠标发出的中断信号(键盘和鼠标也是串行设备).可以处理计算机与外部串行设备的同步管理问题。有一些比较高档

8、的UART还提供输入输出数据的缓冲区,比较新的UART是655,它可以在计算机需要处理数据前在其缓冲区内存储16字节数据,而通常的UAT是825.如果您购买一个内置的调制解调器,此调制解调器内部通常就会有6550 UAT。2UART工作原理及功能设计2。UART工作原理UART是一种串行数据总线,用于异步通信,并且双向通信,可实现全双工发送和接收。基本的UA只需要两条信号线(TX,RXD)和一条地线就可以完成数据的互相通信,接收和发送互不干扰,这样就大大节省了传输费用。由于UART是异步通信,所以需要对数据进行同步.URT发送/接收数据的传输格式如图1所示,一个字符单位由开始位、数据位、校验位

9、、停止位组成(其中校验位可供选)。发送或接收一个完整的字节信息,首先是一个作为起始位的逻辑“o”位,接着是8个数据位,然后是停止位逻辑“1位,数据线空闲时为高或“1”状态。在字符的8位数据部分,先发送数据的最低位,最后发送最高位.每位持续时间是固定的,由发送器本地时钟控制,每秒发送的数据位个数,即为“波特率”.起始位和停止位起着很重要的作用。显然,它们标志每个字符的开始和结束,但更重要的是他们使接收器能把他的局部时钟与每个新开始接收的字符再同步.异步通信没有可参照的时钟信号,发送器随时都可能发送数据,任何时刻串行数据到来时,接收器必须准确地发现起始位下降沿的出现时间,从而正确地采样紧接着的io

10、或者m位(包括开始位、数据位和停止位),接收器的时钟和发送器的时钟不是同一个,因此,接收器所确定的采样点的间隔和发送器所确定的位间隔时间不同,这点要特别注意。22UART功能设计异步通信的一帧传输经历以下步骤:)空闲状态。发送方连续发送信号,处于信息“1状态。2)开始传输。发送方在任何时刻将传号变成空号,即“1跳变到“0”,并持续1位时间表明发送方开始传输数据。而同时,接收方收到空号后,开始与发送方同步,并期望收到随后的数据。3)奇偶传输。数据传输之后是可供选择的奇偶位发送或接收。4)停止传输。最后是发送或接收的停止位,其状态恒为“1”。设计的基本原则是保留最主要的功能,基于FGA的UAT系统

11、由波特率时钟发生器、接收器和发送器个子模块组成,如图所示。图2AT功能框图2.2。1波特率发生器设计波特率发生器实质是设计一个分频器,用于产生和R32通信同步的时钟。在系统中用一个计数器来完成这个功能,分频系数N决定了波特率的数值。该计数器一般工作在一个频率较高的系统时钟下,当计数到N/时将输出置为高电平,再计数到/2的数值后将输出置为低电平,如此反复即可得到占空比50%的波特率时钟,具体的波特率依赖于所使用的系统时钟频率和N的大小。如系统时钟频率是6 MHz,要求波特率是9 60,则16倍波特率时钟的周期约等于2个系统时钟周期,则计数器取42/2=时,当计数溢出时输出电平取反就可以得到16倍

12、约定波特率的时钟。使用VDL来描述波特率发生器的完整代码如下:Poess(rs,l6。_M)if rst=0 then cout=0;bclkr=0;elsfrisng_dge(clk_4)thenif (cot=20)unt=0;ckri xd_sync=0 hentate=r_cter;r_rea=0;rcnt:=0;elsstat=_strt;r_ready0;end f;whn_cner=ifrsyc=0 hnif coun000” tntte=r_wat;coun:”0000”;eson:=cout+;sta=_cnr;end f;elsestater_sta;end ;whe r_

13、at=ifcoun110”tenoun:=”0000”; rcntfrmn enste=r_sto;elsestate_ample;end if;elscount:=cu1;statr_wait;end i;hen _sml=rbufs(rn):rd_sync;ct:rcnt+1;staterwt;whenr_stp=r_ready=1;bu=rbfs;tae=_start;hets=stte=r_sr;ed cas;end ;enprocess;3仿真本设计在Alera Cclone系列的芯片上进行了验证,对发送模块和接收模块的仿真结果分别如图3、图4所示.发送的数据能严格按照串行通信协议进

14、行传输;接收的数据也完全正确。用FPGA设计UAR,可以用片上很少的逻辑单元实现RT的基本功能。与传统设计相比,能有效减少系统的PB面积,降低系统的功耗,提高设计的稳定性和可靠性,充分利用FPGA的剩余资源、并可方便地进行系统升级和移植。图3 发送模块仿真图 接收模块仿真4总结该设计具有很大的灵活性,通过调整波特率发生器的分频参数,就可以使其工作在不同的频率.采用16倍波特率的采样时钟,可以实时有效监测数据的起始位,并对数据位进行中央采样,从而保证了所采样数据的正确性。该模块可以作为一个完整的IP核,灵活地移植进各种型号FPG中,在实际应用时也可嵌入到其他系统中,有很好的借鉴和参考价值。参考文

15、献1。吴厚航,深入浅出玩转PA,北京航空航天大学出版社,203年7月。 2。于斌,melsim电子系统分析及仿真,电子工业出版社,2014年2月. 3潘松 黄继业,ED技术实用教程Verlg版,科学出版社,210年7月4.夏宇闻,erilg数字系统设计教程,北京航空航天大学出版社213年7月 5。康华光,电子技术基础,高教出版社,003. 6李莉,Alter FPG系统设计实用教程,清华大学出版社,2014年1月 7 吴厚航,PGA设计实战演练,清华大学出版社,25年1月课程设计成绩评定表评定项目内容满分评分总分学习态度学习认真,态度端正,遵守纪律。10答疑和设计情况认真查阅资料,勤学好问,提

16、出的问题有一定的深度,分析解决问题的能力较强。4说明书质量设计方案正确、表达清楚;设计思路、实验(论证)方法科学合理;达到课程设计任务书规定的要求;图、表、文字表达准确规范,上交及时。4回答问题情况回答问题准确,基本概念清楚,有理有据,有一定深度。10总成绩采用五级分制:优、良、中、及格、不及格指导教师评语:签名:年月日基于G的数字温度设计摘要:本设计有效的克服了传统的数字温度计的缺点,采用DA技术自上而下的设计思路,绘制出了具体的逻辑电路,最后又在硬件上通过对其进行调试和验证。基于FPGA在Quatus I 9。0p2软件下应用VHD语言编写程序,采用ALTA公司ClonI系列的EP28Q2

17、8芯片进行了计算机仿真,并给出了相应的仿真结果。该电路能够实现很好的测温功能。关键字:数字温度计;EA;FPGA;HDL;Qartu II9。0sp2;E2C8Q2The desin of iita therometer ased FPAbstrac:Thisdesigeffecively ovomes te trditinal diital themomeer weanes a tksa topwn apoach t esig。aw outa artculroiccircuis,nd ily as the circuistot dware t debua riyit。Ths esign is

18、basenFGA usigVHDL nguae to it progra n QuaruII software, adting EP2Q28 chipof CyconeI seres o ALTRA copayfor mpu simulation and at thesa time sowg e orrespoding imlatin esult。hisciruiis abe tcarr ou excelentemprature meauremntfunctionKor:iialemometer;ED;FPGA;VHDL;Quartus II;EPCQ20引言检测是控制的基础和前提,而检测的精

19、度必须高于控制的精确度,否则无从实现控制的精度要求。不仅如此,检测还涉及国计民生各个部门,可以说在所以科学技术领域无时不在进行检测。科学技术的发展和检测技术的发展是密切相关的.现代化的检测手段能达到的精度、灵敏度及测量范围等,在很大程度上决定了科学技术的发展水平。同时,科学技术的发展达到的水平越高,又为检测技术、传感器技术提供了新的前提手段.目前温度计技术的发展很快,从原始的玻璃管温度计发展到了现在的热电阻温度计、热电偶温度计、数字温度计、电子温度计等等。目前的温度计中传感器是它的重要组成部分,它的精度灵敏度基本决定了温度计的精度、测量范围、控制范围和用途等.传感器应用极其广泛,目前已经研制出

20、多种新型传感器。1.设计要求现代社会的标志之一就是信息产品的广泛使用,而且是产品的性能越来越强,复杂程度越来越高,更新步伐越来越快。支撑信息电子产品高速发展的基础就是微电子制造工艺水平的提高和电子产品设计开发技术的发展。前者以微细加工技术为代表,而后者的代表就是电子设计自动化(electronic dsig utomai,EDA)技术。本设计采用的HD是一种全方位的硬件描述语言,具有极强的描述能力,能支持系统行为级、寄存器传输级和逻辑门级三个不同层次的设计;支持结构、数据流、行为三种描述形式的混合描述、覆盖面广、抽象能力强,因此在实际应用中越来越广泛。ASI是专用的系统集成电路,是一种带有逻辑

21、处理的加速处理器。而FP是特殊的ASIC芯片,与其他的A芯片相比,它具有设计开发周期短、设计制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检测等优点2。温度的数字化给人们生产生活带来了极大的方便。因此,研究数字温度计及其应用,有着非常现实的意义.1。1 选题背景本节将从FG嵌入式应用开发技术与温度计发展的客观实际出发,通过对该技术发展状况的了解,以及课题本身的需要,指出研究基于FPGA的芯片系统与设计数字温度计的设计与实现的必要性3。课题相关技术的发展当今电子产品正向功能多元化,体积最小化,功耗最低化的方向发展。它与传统的电子产品在设计上的显著区别师大量使用大规模可编程逻辑

22、器件,使产品的性能提高,体积缩小,功耗降低。同时广泛运用现代计算机技术,提高产品的自动化程度和竞争力,缩短研发周期.ED技术正是为了适应现代电子技术的要求,吸收众多学科最新科技成果而形成的一门新技术。美国AERA公司的可编程逻辑器件采用全新的结构和先进的技术,加上MaxpusI(或最新的QUARTUS)开发环境,更具有高性能,开发周期短等特点,十分方便进行电子产品的开发和设计4.EA技术以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计的电子系统到硬件系统的逻

23、辑编译,逻辑化简,逻辑分割,逻辑映射,编程下载等工作.最终形成集成电子系统或专用集成芯片的一门新技术5。1.1。2课题研究的必要性新产品、新技术层出不穷,电子技术的发展更是日新月异.可以毫不夸张的说,电子技术的应用无处不在,电子技术正在不断地改变我们的生活,改变着我们的世界。近些年,随着科技的发展和社会的进步,人们对温度计的要求也越来越高,不管在哪里,人们都想知道此刻的温度和天气状况等一些信息,传统的温度计由于它的局限性以及不方便性,已不能满足人们的需求。温度计亟待一次革命,不管在性能还是在样式上它都将发生质的变化,于是数字温度计的时代悄然来临了。1。 课题研究的内容本设计主要研究基于PGA的

24、数字温度计设计,要求温度采集准确精确,精确度达到0.625摄氏度,对温度的采集由41矩形键盘进行控制.2。 FPGA简介2。1 PGA概述FPA是现场可编程门阵列(Field Prorammble Gate Ary)的简称,与之相应的PD是复杂可编程逻辑器件(omlex Programmbleoic Device)的简称,两者的功能基本相同,只是实现原理略有不同,所以有时可以忽略这两者的区别,统称为可编程逻辑器件或CPDPGFA。CPLPFA几乎能完成任何数字器件的功能,上至高性能CPU,下至简单的74电路。它如同一张白纸或是一堆积木,工程师可以通过传统的原理图输入或硬件描述语言自由的设计一个

25、数字系统.通过软件仿真可以事先验证设计的正确性,在PCB完成以后,利用PLDG的在线修改功能,随时修改设计而不必改动硬件电路。使用CPA/FPG开发数字电路,可以大大缩短设计时间,减少PC面积,提高系统的可靠性。这些优点使得CPLA/PGA技术在20世纪90年代以后得到飞速的发展,同时也大大推动了E软件和硬件描述语言HDL的进步。2.2 FPA基本结构PGA具有掩膜可编程门阵列的通用结构,它由逻辑功能块排成阵列,并由可编程的互连资源连接这些逻辑功能块来实现不同的设计。FA一般由种可编程电路和一个用于存放编程数据的静态存储器SM组成。这3种可编程电路是:可编程逻辑模块、输入/输出模块(B-I B

26、lok)和互连资源。可编程逻辑模块CLB是实现逻辑功能的基本单元,它们通常规则的排列成一个阵列,散布于整个芯片;可编程输入/输出模块(IOB)主要完成芯片上的逻辑与外部封装脚的接口,它通常排列在芯片的四周;可编程互连资源包括各种长度的连接线段和一些可编程连接开关,它们将各个LB之间或LB、IOB之间以及IOB之间连接起来,构成特定功能的电路。(1) CLB是F的主要组成部分.图2-1是CLB基本结构框图,它主要由逻辑函数发生器、触发器、数据选择器等电路组成。中个逻辑函数发生器分别是G、和H,相应的输出是G、F和H。G有4个输入变量G1、G和G4;也有4个输入变量1、F2、3和F。这两个函数发生

27、器是完全独立的,均可以实现4输入变量的任意组合逻辑函数。逻辑函数发生器H有3个输入信号;前两个是函数发生器的输出G和F,而另一个输入信号是来自信号变换电路的输出H1。这个函数发生器能实现3输入变量的各种组合函数.这3个函数发生器结合起来,可实现多达9变量的逻辑函数。L中有许多不同规格的数据选择器(四选一、二选一等),通过对CL内部数据选择器的编程,逻辑函数发生器G、F和H的输出可以连接到LB输出端或Y,并用来选择触发器的激励输入信号、时钟有效边沿、时钟使能信号以及输出信号。这些数据选择器的地址控制信号均由编程信息提供,从而实现所需的电路结构.CL中的逻辑函数发生器F和G均为查找表结构,其工作原

28、理类似于RO.F和G的输入等效于RM的地址码,通过查找ROM中的地址表可以得到相应的组合逻辑函数输出。另一方面,逻辑函数发生器F和还可以作为器件内高速A或小的可读写存储器使用,它由信号变换电路控制。(2)输入/输出模块IOB.IOB提供了器件引脚和内部逻辑阵列之间的连接。它主要由输入触发器、输入缓冲器和输出触发/锁存器、输出缓冲器组成.每个OB控制一个引脚,它们可被配置为输入、输出或双向I/功能。当IOB控制的引脚被定义为输入时,通过该引脚的输入信号先送入输入缓冲器。缓冲器的输出分成两路:一路可以直接送到MUX,另一路经延时几纳秒(或者不延时)送到输入通路D触发器,再送到数据选择器.通过编程给

29、数据选择器不同的控制信息,确定送至LB阵列的I1和2是来自输入缓冲器,还是来自触发器。图1 CLB基本结构当IO控制的引脚被定义为输出时,CLB阵列的输出信号OUT也可以有两条传输途径:一条是直接经MU送至输出缓冲器,另一条是先存入输出通路D触发器,再送至输出缓冲器.OB输出端配有两只MS管,它们的栅极均可编程,使MO管导通或截止,分别经上拉电阻接通Vcc、地线或者不接通,用以改善输出波形和负载能力。() 可编程互连资源I。可编程互连资源IR可以将FPGA内部的CL和CB之间、LB和IB之间连接起来,构成各种具有复杂功能的系统.IR主要由许多金属线段构成,这些金属线段带有可编程开关,通过自动布

30、线实现各种电路的连接.2.3 FPGA系统设计流程一般说来,一个比较大的完整的项目应该采用层次化的描述方法:分为几个较大的模块,定义好各功能模块之间的接口,然后各个模块再细分去具体实现,这就是TOP OWN(自顶向下)的设计方法.目前这种高层次的设计方法已被广泛采用。高层次设计只是定义系统的行为特征,可以不涉及实现工艺,因此还可以在厂家综合库的支持下,利用综合优化工具将高层次描述转换成针对某种工艺优化的网络表,使工艺转化变得轻而易举。CPD/FA系统设计的工作流程如图2-2所示6。图2CP/FPGA系统设计流程流程说明:(1)工程师按照“自顶向下”的设计方法进行系统划分。()输入VDL代码,这

31、是设计中最为普遍的输入方式。此外,还可以采用图形输入方式(框图、状态图等),这种输入方式具有直观、容易理解的优点。(3) 将以上的设计输入编译成标准的VHDL文件。(4) 进行代码级的功能仿真,主要是检验系统功能设计的正确性。这一步骤适用于大型设计,因为对于大型设计来说,在综合前对源代码仿真,就可以大大减少设计重复的次数和时间。一般情况下,这一仿真步骤可略去。(5)利用综合器对VHDL源代码进行综合优化处理,生成门级描述的网络表文件,这是将高层次描述转化为硬件电路的关键步骤。综合优化是针对ASI芯片供应商的某一产品系列进行的,所以综合的过程要在相应的厂家综合库的支持下才能完成.(6) 利用产生

32、的网络表文件进行适配前的时序仿真,仿真过程不涉及具体器件的硬件特性,是较为粗略的。一般的设计,也可略去这一步骤。(7) 利用适配器将综合后的网络表文件针对某一具体的目标器件进行逻辑映射操作,包括底层器件配置、逻辑分割、逻辑优化和布局布线。(8) 在适配完成后,产生多项设计结果:()适配报告,包括芯片内部资源利用情况,设计的布尔方程描述情况等;(b)适配后的仿真模型;(c)器件编程文件。根据适配后的仿真模型,可以进行适配后时序仿真,因为已经得到器件的实际硬件特性(如时延特性),所以仿真结果能比较精确的预期未来芯片的实际性能。如果仿真结果达不到设计要求,就修改HL源代码或选择不同速度和品质的器件,

33、直至满足设计要求7。最后将适配器产生的器件编程文件通过编程器或下载电缆载入到目标芯片PLD/FPGA中。2。4 FPGA开发编程原理硬件设计需要根据各种性能指标、成本、开发周期等因素,确定最佳的实现方案,画出系统框图,选择芯片,设计C并最终形成样机。CD/FPGA软件设计可分为两大块:编程语言和编程工具。编程语言主要有VHDL和Vrilog两种硬件描述语言;编程工具主要是两大厂家Ater和Xx的集成综合EDA软件(如MAXpluII、QurtusII、ounaton、ISE)以及第三方工具(如PG Exprss、Mdlsim、SynposysSVS等)。具体的设计输入方式有以下几种8:(1)

34、HDL语言方式.HL既可以描述底层设计,也可以描述顶层的设计,但它不容易做到较高的工作速度和芯片利用率。用这种方式描述的项目最后所能达到的性能与设计人员的水平、经验以及综合软件有很大的关系。(2) 图形方式。可以分为电路原理图描述,状态机描述和波形描述3种形式。有的软件3种输入方法都支持,如AieHL。AplsII 图形输入方式只支持电路原理图描述和波形描述两种。电路原理图方式描述比较直观和高效,对综合软件的要求不高.一般大都使用成熟的P核和中小规模集成电路所搭成的现成电路,整体放到一片可编程逻辑器件的内部去,所以硬件工作速度和芯片利用率很高,但是但项目很大的时候,该方法就显得有些繁琐;状态机

35、描述主要用来设计基于状态机思想的时序电路9。在图形的方式下定义好各个工作状态,然后在各个状态上输入转换条件以及相应的输入输出,最后生成HD语言描述,送去综合软件综合到可编程逻辑器件的内部。由于状态机到HDL语言有一种标准的对应描述方式,所以这种输入方式最后所能达到的工作速度和芯片利用率主要取决于综合软件;波形描述方式是基于真值表的一种图形输入方式,直接描述输入与输出的波形关系.这种输入方式最后所能达到的工作速度和芯片利用率也是主要取决于综合软件10。2.5 DS18B20的性能特点(1)DS18B20的性能特点:采用单总线专用技术,既可通过串行口线,也可通过其它IO口线与微机接口,无须经过其它

36、变换电路,直接输出被测温度值(9位二进制数,含符号位),测温范围为-55+15,测量分辨率为0。0625,内含4位经过激光修正的只读存储器,适配各种系统,用户可分别设定各路温度的上、下限,内含寄生电源1.(2) DS18B内部结构 图3 S18B20内部结构 S1820内部结构主要由四部分组成:位光刻OM,温度传感器,掉电后仍能保存的温度报警触发器和TL(存储在EEPROM),高速暂存器。18B20的管脚排vcc dq gd.64位光刻RM是出厂前被光刻好的,它是该8B2的序列号。每一个Dl20包括一个唯一的64位长的序号12。 开始位是产品类型编码(DS18B20编码均为10H)。接着的48

37、位是每个器件唯一的序号最后8位是前面位的CC(循环冗余校验)码。DS18B0中还有用于存储测得的温度值的两个8位存贮器RA,编号为0号和号。1号存贮器存放温度值的符号如果温度为负,则1号存贮器8位全为1,否则全为0。0号存贮器用于存放温度值的补码,SB(最低位)的1表示05。将存贮器中的二进制数求补再转换成十进制数并除以2就得到被测温度值S18B0有两种供电方式即数据总线供电方式和外部供电方式采取数据总线供电方式可以节省一根导线但完成温度测量的时间较长采取外部供电方式则多用一根导线但测量速度较3. 3。设计过程.1 总体方案设计3。1。1FA控制D8B20FG需要完成DS18B2的初始化、读取

38、DS1的4位ID号、启动DS18B20温度转换、读取温度转化结果。读取48位D号和读取温度转换结果过程中,FPA还要实现RC校验码的计算,保证通信数据的可靠性1. 以上操作反复进行,可以用状态机来实现。状态机的各种状态如下: RESE1:对DS820进行第一次复位,然后进入等待,等待0后,进入下一状态。 CM33:对S182发出33命令,读取48位I值.GE_D:从S18B20中读取48位ID值。 RESET2:对D1B20进行第二次复位,然后进入DLY状态等待800s后,进入CMDC状态。CMCC:向SB0发出忽略ROM命令,为进入下一状态作准备. CMD4:向D18B20发出启动温度转换命

39、令,然后进入等待, 900ms后进入下一状态。 RESET3:对D182进行第三次复位。 CMCC2:向DS18B0发出忽略命令,为了进入下一状态作准备. GT_TEMP:从18B0中读取温度测量数值。 DELA:等待状态。WRITBT:向DS18B2中写入数据位状态。REA_BIT:从S1B中读取数据位状态。在该状态中每读取1位数据,同时完成该数据位的CC校验计算15。所有数据都读取后,还要读取8位CC校验位。这8位校验位也经过CRC校验计算,如果通信没有错误,总的C校验结果应该是。这时可将通信正确的数据保存到d和tp_data寄存器中。32单元电路设计3.。1 DS18B2温度采集在开发板上的原理图图1 开发板上输出显示的按键原理图图32 开发板上的按键实物图图 3 发板上DS18B20实物图4。 装调与测试4.1 P2C8Q28FPAG开发板装调图 1 开发板实物图核心系统:()ycloneII核心:EP828:(2)SDRA:64Mbt为满足用户海量存储:(为做SOPC或者IO I系统提供足够的内存);(3)Flh:6bt能满足大中小型开发,为用户配置NIO的镜像文件:()EPCS4 配置芯片:()提供配置模式:JG和AS.此外配置管脚通过插针引出:(6)核心板的有源时钟为50Mhz):(7)将所有IO、Aao总线、配置管脚等都通过

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 教案示例

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com