数字电子技术基础习题.ppt

上传人:wuy****n92 文档编号:88499005 上传时间:2023-04-26 格式:PPT 页数:179 大小:4.53MB
返回 下载 相关 举报
数字电子技术基础习题.ppt_第1页
第1页 / 共179页
数字电子技术基础习题.ppt_第2页
第2页 / 共179页
点击查看更多>>
资源描述

《数字电子技术基础习题.ppt》由会员分享,可在线阅读,更多相关《数字电子技术基础习题.ppt(179页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、数字电子技术基础习题数字电子技术基础习题第一章第一章 数字逻辑基础数字逻辑基础 第二章第二章 逻辑门电路逻辑门电路 第三章第三章 组合逻辑电路组合逻辑电路第四章第四章 触发器电路触发器电路第五章第五章 时序逻辑电路时序逻辑电路第六章第六章 脉冲信号的产生与转换脉冲信号的产生与转换第七章第七章 数数/模(模(D/AD/A)和模)和模/数(数(A/DA/D)转换)转换第八章第十四章第八章第十四章 应用篇应用篇 第一章第一章第一章第一章 数字逻辑基础数字逻辑基础数字逻辑基础数字逻辑基础一、填空题一、填空题一、填空题一、填空题 1.1.十进制数十进制数 128 128 对应的二进制数是对应的二进制数是

2、 ,对应对应 8421BCD 8421BCD 码是码是 ,对应的十六进,对应的十六进制数是制数是 。100000000001001010001 1018001 1二、判断题二、判断题二、判断题二、判断题1.1.数字电路中用数字电路中用“1”“1”和和“0”“0”分别表示两种状态分别表示两种状态,二者二者无大小之分。(无大小之分。()2.2.在时间和幅度上都断续变化的信号是数字信号,语在时间和幅度上都断续变化的信号是数字信号,语音信号不是数字信号。(音信号不是数字信号。()3.若两个函数具有相同的真值表,则两个逻辑函数必然相等。()4.逻辑函数 已是最简与或表达式。()5.若两个函数具有不同的真

3、值表,则两个逻辑函数必然不相等。()6.6.因为逻辑表达式因为逻辑表达式A A+B B+ABAB=A A+B B 成立,所以成立,所以 ABAB=0=0成立。(成立。()7.7.已知已知 AB AB+C C=ABAB+D D,则可得,则可得 C C=D D 。(。()8.若两个函数具有不同的逻辑函数式,则两个逻辑函数必然不相等。()9.因为逻辑表达式 成立,所以 成立。()10.异或函数与同或函数在逻辑上互为反函数。()三、单项选择题三、单项选择题三、单项选择题三、单项选择题 1.1.十进制数十进制数2525用用8421BCD8421BCD码表示为码表示为 。A.10101 B.0010010

4、1 C.100101 D.10101 A.10101 B.00100101 C.100101 D.101012.2.在在 输入情况下,输入情况下,“与非与非”运算的结果是逻辑运算的结果是逻辑0 0。A.A.全部输入是全部输入是0 B.0 B.任一输入是任一输入是0 0 C.C.仅一输入是仅一输入是0 D.0 D.全部输入是全部输入是1 1 3.3.逻辑函数逻辑函数 =。A.B B.A C.D.A.B B.A C.D.4.4.当逻辑函数有当逻辑函数有n n个变量时,共有个变量时,共有 个变量取值组个变量取值组 合?合?A.n B.2n C.n A.n B.2n C.n2 2 D.2 D.2n n

5、 5.5.以下表达式中符合逻辑运算法则的是以下表达式中符合逻辑运算法则的是 。A.CC=C A.CC=C2 2 B.1+1=10 C.0 B.1+1=10 C.0 B B.FABBCB四、计算分析四、计算分析四、计算分析四、计算分析1.1.试分析图所示逻辑电路的功能。试分析图所示逻辑电路的功能。试分析图所示逻辑电路的功能。试分析图所示逻辑电路的功能。解:解:解:解:1 1)逐级写出逻辑表达式。)逐级写出逻辑表达式。)逐级写出逻辑表达式。)逐级写出逻辑表达式。2 2)列出真值表)列出真值表)列出真值表)列出真值表 3 3)功能分析)功能分析)功能分析)功能分析该电路为奇偶校验电路该电路为奇偶校验

6、电路该电路为奇偶校验电路该电路为奇偶校验电路 2.2.逻辑电路如图所示,试分析其逻辑功能。逻辑电路如图所示,试分析其逻辑功能。解:解:1 1)逐级写出逻辑表达式。)逐级写出逻辑表达式。2 2)转换成较简的与或表达式。)转换成较简的与或表达式。3 3)列出真值表。如下表所示。)列出真值表。如下表所示。是是A A、B B的同或逻辑的同或逻辑 3.3.试分析图所示逻辑电路的功能。试分析图所示逻辑电路的功能。解:解:1 1)逐级写出逻辑表达式)逐级写出逻辑表达式 2 2)转换成较简的表达式)转换成较简的表达式 3 3)列出真值表)列出真值表 4 4)分析逻辑功能。)分析逻辑功能。为全加器的逻辑电路图。

7、为全加器的逻辑电路图。4.4.试用与非门和反相器设计一个四位的奇偶校验器,即当四位数试用与非门和反相器设计一个四位的奇偶校验器,即当四位数中有奇数个中有奇数个1 1时,输出为时,输出为0 0,否则输出为,否则输出为1 1。解:解:1 1)分析命题。设输入变量为、,输出变量用)分析命题。设输入变量为、,输出变量用表示,然后对逻辑变量进行赋值:表示,然后对逻辑变量进行赋值:=表示、表示、四位数中有奇数个,四位数中有奇数个,=表示、四位数中有偶表示、四位数中有偶数个。数个。2 2)根据题意列真值表)根据题意列真值表(见后表)(见后表)3 3)根据真值表写出相应的逻辑表达式并进行化简和变换。)根据真值

8、表写出相应的逻辑表达式并进行化简和变换。由于要求用与非门和反相器实现,所以表达式应该用与非由于要求用与非门和反相器实现,所以表达式应该用与非与非与非表达式。根据真值表可得:表达式。根据真值表可得:4 4)画出函数的逻辑图(图略)画出函数的逻辑图(图略)。返回返回5.5.设计一个故障指示电路,要求的条件如下:设计一个故障指示电路,要求的条件如下:1 1)两台电动机同时工作时,绿灯亮;)两台电动机同时工作时,绿灯亮;2 2)其中一台发生故障时,黄灯亮;)其中一台发生故障时,黄灯亮;3 3)两台发动机都有故障时,则红灯亮。)两台发动机都有故障时,则红灯亮。解:解:1 1)分析命题。设输入变量为、,分

9、别表示两台电动机)分析命题。设输入变量为、,分别表示两台电动机的工作状态,输出变量用、表示。然后对逻辑变量进行的工作状态,输出变量用、表示。然后对逻辑变量进行赋值:、为时表示电动机正常工作,、为时表示电赋值:、为时表示电动机正常工作,、为时表示电动机发生故障;动机发生故障;=表示、两台电动机同时工作;表示、两台电动机同时工作;=表示、两台电动机中有一台发生故障;表示、两台电动机中有一台发生故障;=表示、两表示、两台电动机都有故障。台电动机都有故障。2 2)根据题意列真值表)根据题意列真值表(见后表)(见后表)根据真值表可得:根据真值表可得:4 4)画出函数的逻辑图(图略)画出函数的逻辑图(图略

10、)1 1)两台电动机同时工作时,绿灯亮;)两台电动机同时工作时,绿灯亮;2 2)其中一台发生故障时,黄灯亮;)其中一台发生故障时,黄灯亮;3 3)两台发动机都有故障时,则红灯亮。)两台发动机都有故障时,则红灯亮。返回返回6.6.有一列自动控制的地铁电气列车,在所有的门都已关上和下一有一列自动控制的地铁电气列车,在所有的门都已关上和下一段路轨已空出的条件下才能离开站台。但是,如果发生关门故障,段路轨已空出的条件下才能离开站台。但是,如果发生关门故障,则在开着门的情况下,车子可以通过手动操作开动,但仍要求下则在开着门的情况下,车子可以通过手动操作开动,但仍要求下一段空出路轨。试用与非门设计一个指示

11、电气列车开动的逻辑电一段空出路轨。试用与非门设计一个指示电气列车开动的逻辑电路。(提示:设为门开关信号,门关;为路轨控制信路。(提示:设为门开关信号,门关;为路轨控制信号,路轨空出;为手动操作信号,手动操作;号,路轨空出;为手动操作信号,手动操作;为列车开动信号,列车开动。)为列车开动信号,列车开动。)解:解:1 1)分析命题。设输入变量为、,为门开关信号,)分析命题。设输入变量为、,为门开关信号,门关;为路轨控制信号,路轨空出;为手动操门关;为路轨控制信号,路轨空出;为手动操作信号,手动操作;为列车开动信号,列车开动。作信号,手动操作;为列车开动信号,列车开动。2 2)根据题意列真值表)根据

12、题意列真值表根据根据真值表真值表可得:可得:4 4)画出函数的逻辑图(图略)。)画出函数的逻辑图(图略)。返回返回7.7.电路如图所示,问图中哪个发光二极管发光。电路如图所示,问图中哪个发光二极管发光。答:发光二极管答:发光二极管LEDLED3 3发光。发光。8.8.设计一个如图所示五段数码管显示电路。输入为、,设计一个如图所示五段数码管显示电路。输入为、,要求能显示英文要求能显示英文rrorrror中的三个字母、中的三个字母、r r、o o(并要求(并要求=1=1时时全暗),列出真值表,用与非门画出逻辑图。全暗),列出真值表,用与非门画出逻辑图。解:解:1 1)分析命题。设输入变量为、,输出

13、变量用)分析命题。设输入变量为、,输出变量用a a、b b、c c、d d、e e表示。然后对逻辑变量进行赋值:、为表示。然后对逻辑变量进行赋值:、为0000时显示,、时显示,、为为0101时显示时显示r r,为,为1010时显示时显示o o,、为,、为1111时全暗;输出变时全暗;输出变量为时表示该段显示。量为时表示该段显示。2 2)根据题意列真值表,)根据题意列真值表,根据真值表可得:根据真值表可得:4)画出函数的逻辑图 (图略)9.9.人有四种血型:、和型,型为万能输血者,能人有四种血型:、和型,型为万能输血者,能为其它血型的被输血者输血,为万能被输血者,能接受其它为其它血型的被输血者输

14、血,为万能被输血者,能接受其它血型为其输血,其它情况输血者必须和被输血者血型相同,否则血型为其输血,其它情况输血者必须和被输血者血型相同,否则会有生命危险。试用与非门设计一个组合逻辑电路,判断输血者会有生命危险。试用与非门设计一个组合逻辑电路,判断输血者和被输血者血型是否符合规定。(提示:可用两个输入变量的组和被输血者血型是否符合规定。(提示:可用两个输入变量的组合代表输血者血型,另外两个输入变量的组合代表被输血者血型,合代表输血者血型,另外两个输入变量的组合代表被输血者血型,用输出变量代表是否符合规定。)用输出变量代表是否符合规定。)解:解:1 1)分析命题。设输入变量为、。、为)分析命题。

15、设输入变量为、。、为0000时,表示输血者是型血型,、为时,表示输血者是型血型,、为0000时,表示被输血者是时,表示被输血者是型血型;、为型血型;、为0101时,表示输血者是型血型,、为时,表示输血者是型血型,、为0101时,时,表示被输血者是型血型;、为表示被输血者是型血型;、为1010时,表示输血者是型时,表示输血者是型血型,、为血型,、为1010时,表示被输血者是型血型;、为时,表示被输血者是型血型;、为1111时,表示输血者是型血型,、为时,表示输血者是型血型,、为1111时,表示被输血者是时,表示被输血者是型血型。型血型。输出变量用表示。输出变量用表示。=表示符合规定,表示符合规定

16、,=表示不符合规表示不符合规定。定。2 2)根据题意列真值表)根据题意列真值表3 3)根据真值表写出相应的逻辑表达式并进行化简和变换)根据真值表写出相应的逻辑表达式并进行化简和变换4 4)画出函数的逻辑图(图略)画出函数的逻辑图(图略)10.10.如图所示,如图所示,74HC15374HC153是四选一数据选择器,试写出输出的是四选一数据选择器,试写出输出的最简与或表达式,并用最简与或表达式,并用74HC15374HC153实现逻辑函数:实现逻辑函数:解:解:11.11.如图所示,如图所示,74HC13874HC138是是3 3线线-8-8线译码器,试写出线译码器,试写出Z Z1 1、Z Z2

17、 2的最简的最简与或式。与或式。解:解:12.12.如图所示,如图所示,74HC14874HC148是是8 8线线-3-3线优先编码器,试判断输出信号线优先编码器,试判断输出信号、B B2 2、B B1 1、B B0 0的状态(高电平或低电平)。的状态(高电平或低电平)。13.13.如图所示,如图所示,74HC14774HC147是是8421BCD8421BCD码输出的码输出的1010线线-4-4线优先编码器,试指出输线优先编码器,试指出输出信号出信号B B3 3、B B2 2、B B1 1、B B0 0的状态(高电平还是低电平)。的状态(高电平还是低电平)。解:解:B B3 3、B B2 2

18、、B B1 1、B B0 0的状态分别为的状态分别为0 0、1 1、1 1、1 1(0 0表示低电平,表示低电平,1 1表示高电平)表示高电平)。14.14.用用3 3线线-8-8线译码器线译码器74HC13874HC138和与非门分别实现下列逻辑函数。和与非门分别实现下列逻辑函数。提示:先将下列逻辑函数转换成最小项表达式,然后再转换提示:先将下列逻辑函数转换成最小项表达式,然后再转换成与非成与非-与非表达式。另外,与非表达式。另外,74HC13874HC138的输出分别等于输入的输出分别等于输入变量的与非逻辑,例如,变量的与非逻辑,例如,。1 1)2 2)解:解:1 1)2)2)15.15.

19、试用试用EPROMEPROM实现一组逻辑函数:实现一组逻辑函数:指出需要多大容量的指出需要多大容量的EPROMEPROM,并且列出存储矩阵的存储内容表。,并且列出存储矩阵的存储内容表。解:需要解:需要 位,即位,即164164位容量的位容量的EPROMEPROM。经过函数变换,。经过函数变换,一、判断题一、判断题 1.由两个TTL或非门构成的基本RS触发器,当 R=S=0时,触发器的状态为不定。()2.RS触发器的约束条件RS=0表示不允许出现 R=S=1的输入。()第四章第四章 触发器电路触发器电路 3.边沿JK触发器,在CP为高电平期间,当 J=K=1时,状态会翻转一次。()4.同步触发器

20、存在空翻现象,而边沿触发器 克服了空翻。()5.RS触发器的不定状态是指 RS输入信号同时消失后(同时变为“0”或同时变为“1”),触发器转换到什么状态将不能确定,可能为1态,也可能为0态。()二、单项选择题二、单项选择题二、单项选择题二、单项选择题 1.为实现将JK触发器转换为D触发器,应使 。A.J=D,K=B.K=D,J=C.J=K=D D.J=K=2.对于JK触发器,若J=K,则可完成 触发器的逻辑功能。A.RS B.D C.T D.T 3.欲使D触发器按Qn+1=工作,应使输入 D=。A.0 B.1 C.Q D.ACD4.对于D触发器,欲使Qn+1=Qn,应使输入 D=。A.0 B.

21、1 C.Qn D.5.一个触发器可记录一位二进制代码,它有()个稳态。A.4 B.1 C.2 D.3 6.在下列触发器中,有约束条件的是()。A.主从JK F/F B.主从D F/F C.同步RS F/F D.边沿D F/FCCC三、多项选择题三、多项选择题三、多项选择题三、多项选择题 1.欲使JK触发器按Qn+1=工作,可使JK触发器 的输入端 。A.J=1 ,K=Qn B.J=Qn ,K=C.J=,K=Qn D.J=,K=1 2.对于T触发器,若原态Qn=1,欲使次Qn+1=1,应使输入T=。A.0 B.1 C.Qn D.ACDAD3.欲使JK触发器按Qn+1=0工作,可使JK触发器 的输

22、入端 。A.J=K=1 B.J=Qn,K=Qn C.J=Qn,K=1 D.J=0,K=1 4.欲使JK触发器按Qn+1=Qn工作,可使JK触发器 的输入端 。A.J=K=0 B.J=Qn,K=C.J=,K=Qn D.J=Qn,K=0 BCDABD5.对于T触发器,若原态Qn=0,欲使次态Qn+1=1,应使输入T=。A.0 B.1 C.Qn D.6.欲使JK触发器按Qn+1=1工作,可使JK触发器的 输入端 。A.J=K=1 B.J=1,K=0 C.J=K=D.J=,K=0 BDBCD四、计算分析四、计算分析1.1.在图所示的基本在图所示的基本RSRS触发器中,触发输入信号如图所示,试画出触发器

23、中,触发输入信号如图所示,试画出其其Q Q 和和 端的波形。端的波形。2.2.在图电路中,已知在图电路中,已知R R、S S 端的波形如图所示,试画出端的波形如图所示,试画出Q Q、的波形。设触发器的初始状态为的波形。设触发器的初始状态为0 0。3.3.设图所示的同步设图所示的同步RSRS触发器初始状态为触发器初始状态为0 0,R R、S S 端的波端的波形如图所示。试画出其输出端形如图所示。试画出其输出端Q Q、的波形。的波形。4.4.在图所示的维持阻塞在图所示的维持阻塞D D触发器中,已知触发器中,已知CPCP、D D、的波形如图所示,试画出其的波形如图所示,试画出其Q Q 端的波形。设触

24、发器的初端的波形。设触发器的初态为态为0 0。5.5.试画出图试画出图a a所示电路所示电路D D 端及端及Q Q 端的波形,输入信号的端的波形,输入信号的波形如图波形如图b b所示。设所示。设D D触发器的初始状态为触发器的初始状态为0 0。6.6.图所示电路是由图所示电路是由D D触发器和与门组成的移相电路,在触发器和与门组成的移相电路,在时钟脉冲作用下,其输出端时钟脉冲作用下,其输出端A A、B B 输出输出2 2个频率相同,相个频率相同,相位不同位不同 的脉冲信号。试画出的脉冲信号。试画出Q Q、A A、B B 端的时序图。端的时序图。7.7.电路如图电路如图a a所示,所示,B B

25、端输入的波形如图端输入的波形如图b b所示,试画出所示,试画出该电路输出端该电路输出端G G 的波形。设触发器的初态为的波形。设触发器的初态为0 0。8.8.在图所示的实验电路中,在图所示的实验电路中,Y YA A 、Y YB B 为双踪示波器的信为双踪示波器的信号输入端,试画出示波器荧光屏上应显示的波形。号输入端,试画出示波器荧光屏上应显示的波形。9.9.电路如图所示,设触发器初始状态均为零,试画出在电路如图所示,设触发器初始状态均为零,试画出在CPCP 作用下作用下Q Q1 1 和和Q Q2 2 的波形。的波形。10.10.已知下降沿触发型已知下降沿触发型JKJK触发器的触发器的CPCP、

26、J J、K K 波形如图所波形如图所示,试分别画出其示,试分别画出其Q Q 端的波形。设端的波形。设 =1=1,触发,触发器的初始状态为零。器的初始状态为零。11.11.某同学用图某同学用图a a所给器件构成电路,并在示波器上观察所给器件构成电路,并在示波器上观察到图到图b b所示波形。试问电路是如何连接的所示波形。试问电路是如何连接的?请画出逻辑电请画出逻辑电路图。路图。12.12.由两个边沿由两个边沿JKJK触发器组成如图触发器组成如图a a所示的电路,若所示的电路,若CPCP、A A 的波形如图的波形如图b b所示,试画出所示,试画出Q Q1 1、Q Q2 2 的波形。设触发器的波形。设

27、触发器的初始状态均为零。的初始状态均为零。13.13.图所示电路为单脉冲发生器,即每按一下按钮图所示电路为单脉冲发生器,即每按一下按钮S S,则,则在在Q Q1 1 端得到一个标准脉冲。端得到一个标准脉冲。CPCP 为一连续脉冲,其频率为一连续脉冲,其频率为为f f0 0。1)1)用时序图说明电路工作原理;用时序图说明电路工作原理;2)2)求出输出端求出输出端Q Q1 1 脉冲宽度与脉冲宽度与CPCP 脉冲的关系;脉冲的关系;14.14.图图a a所示各触发器的所示各触发器的CPCP 波形如图波形如图b b所示,试画出各所示,试画出各触发器输出端触发器输出端Q Q 波形。设各触发器的初态为波形

28、。设各触发器的初态为0 0。15.15.试画出利用试画出利用D D触发器触发器74HC7474HC74组成的四分频电路,并组成的四分频电路,并画出时序图说明工作过程。画出时序图说明工作过程。一、填空题一、填空题一、填空题一、填空题1.1.组合逻辑电路任何时刻的输出信号,与该时刻组合逻辑电路任何时刻的输出信号,与该时刻 的输入信号的输入信号 ;与电路原来所处的状态;与电路原来所处的状态 ;时序逻辑电路任何时刻的输出信号,;时序逻辑电路任何时刻的输出信号,与该时刻的输入信号与该时刻的输入信号 ;与信号作用前电;与信号作用前电 路原来所处的状态路原来所处的状态 。2.2.一个一个4 4位移位寄存器,

29、经过位移位寄存器,经过 个时钟脉冲个时钟脉冲 CP CP后,后,4 4位串行输入数码全部存入寄存器;位串行输入数码全部存入寄存器;再经过再经过 个时钟脉冲个时钟脉冲CPCP后可串行输出后可串行输出4 4位位 数码。数码。有关有关 有关有关 有关有关 无关无关 4 44 4笫五章笫五章 时序逻辑电路时序逻辑电路3、构成一异步 2n 进制加法计数器需要 个触发器,一般将每个触发器接成 型触发器。如果触发器是上升沿触发翻转的,则将最低位触发器 CP 端与 相连,高位触发器的 CP 端与 相连。4.时序逻辑电路按照其触发器是否有统一的时钟脉冲控制分为 时序电路和 时序电路。5.要组成模15计数器,至少

30、需要采用 个触发器。n n计数或计数或T计数脉冲输入端计数脉冲输入端邻低位邻低位 端端同步同步异步异步4 4二、判断题二、判断题二、判断题二、判断题 1.异步时序电路的各级触发器类型不同。()2.组合电路不含有记忆功能的器件。()3.模N计数器可用作N分频器。()4.把一个5进制计数器与一个10进制计数器串联 可得到15进制计数器。()5.4位同步二进制加法计数器与4位异步二进制 加法计数器的状态转换表不同。()6.利用反馈归零法获得N进制计数器时,若为异 步置零方式,有短暂的过渡状态,不能稳定而 是立刻变为0状态。()7.计数器的模是指对输入的计数脉冲的个数。()8.具有 N 个独立的状态,

31、计满 N 个计数脉冲 后,状态能进入循环的时序电路,称之模N计 数器。()三、单项选择题三、单项选择题三、单项选择题三、单项选择题 1.1.1.1.下列电路中,不属于组合逻辑电路的是(下列电路中,不属于组合逻辑电路的是(下列电路中,不属于组合逻辑电路的是(下列电路中,不属于组合逻辑电路的是()。)。)。)。A.A.A.A.编码器编码器编码器编码器 B.B.B.B.译码器译码器译码器译码器 C.C.C.C.数据选择器数据选择器数据选择器数据选择器 D.D.D.D.计数器计数器计数器计数器 2.2.2.2.同步时序电路和异步时序电路比较,其差异在于同步时序电路和异步时序电路比较,其差异在于同步时序

32、电路和异步时序电路比较,其差异在于同步时序电路和异步时序电路比较,其差异在于 后者后者后者后者()()()()。A.A.A.A.没有触发器没有触发器没有触发器没有触发器 B.B.B.B.没有统一的时钟脉冲控制没有统一的时钟脉冲控制没有统一的时钟脉冲控制没有统一的时钟脉冲控制 C.C.C.C.没有稳定状态没有稳定状态没有稳定状态没有稳定状态 D.D.D.D.输出只与内部状态有关输出只与内部状态有关输出只与内部状态有关输出只与内部状态有关 DB 3.3.判断图题所示电路为判断图题所示电路为 ():A.A.并行输入数码寄存器并行输入数码寄存器 B.B.左移位寄存器左移位寄存器 C.C.右移位寄存器右

33、移位寄存器 D.D.串并行输入移位数码寄存器串并行输入移位数码寄存器 D D4.4.在下列逻辑电路中,不是组合逻辑电路的有(在下列逻辑电路中,不是组合逻辑电路的有()。)。A.A.译码器译码器 B.B.编码器编码器 C.C.全加器全加器 D.D.寄存器寄存器 5.5.判断图题所示电路为判断图题所示电路为 ():A.A.并行输入数码寄存器并行输入数码寄存器 B.B.左移位寄存器左移位寄存器 C.C.右移位寄存器右移位寄存器 D.D.串并行输入移位数码寄存器串并行输入移位数码寄存器 D DA A 6.6.下列电路中,常用于数据串并行转换的电路为(下列电路中,常用于数据串并行转换的电路为()。)。A

34、.A.加法器加法器 B.B.计数器计数器 C.C.移位寄存器移位寄存器 D.D.数值比较器数值比较器 7.7.判断图题所示电路为判断图题所示电路为 ():A.A.数码寄存器数码寄存器 B.B.左移位寄存器左移位寄存器 C.C.右移位寄存器右移位寄存器 8.8.某移位寄存器的时钟脉冲频率为某移位寄存器的时钟脉冲频率为100KH100KHZ Z,欲将存放,欲将存放 在该寄存器中的数左移在该寄存器中的数左移8 8位,完成该操作需要(位,完成该操作需要()时间。)时间。A.10S B.80S C.100S D.800ms A.10S B.80S C.100S D.800msB BC CB B 9.N9

35、.N个触发器可以构成能寄存(个触发器可以构成能寄存()位二进制数码的)位二进制数码的寄存器。寄存器。A.N-1 B.N C.N+1 D.2N A.N-1 B.N C.N+1 D.2N 10.810.8位移位寄存器,串行输入时经(位移位寄存器,串行输入时经()个脉冲后,)个脉冲后,8 8位数码全部移入寄存器中。位数码全部移入寄存器中。A.1 B.2 C.4 D.8 A.1 B.2 C.4 D.8 11.11.判断图题所示电路为何种计数器(判断图题所示电路为何种计数器()。)。A.A.异步二进制减法异步二进制减法 B.B.同步二进制减法同步二进制减法 C.C.异步二进制加法异步二进制加法 D.D.

36、同步二进制加法同步二进制加法 B BD DA12.12.用二进制异步计数器从用二进制异步计数器从0 0做加法,计到十进制数做加法,计到十进制数178178,则最少需要(则最少需要()个触发器。)个触发器。A.6 B.7 C.8 D.10 A.6 B.7 C.8 D.10 13.13.某数字钟需要一个分频器将某数字钟需要一个分频器将32768H32768HZ Z的脉冲转换为的脉冲转换为1H1HZ Z 的脉冲,欲构成此分频器至少需要(的脉冲,欲构成此分频器至少需要()个触发器。)个触发器。A.10 B.15 C.32 D.32768 A.10 B.15 C.32 D.32768 14.14.判断图

37、题所示电路为何种计数器(判断图题所示电路为何种计数器()。)。A.A.异步二进制减法异步二进制减法 B.B.同步二进制减法同步二进制减法 C.C.异步二进制加法异步二进制加法 D.D.同步二进制加法同步二进制加法 CBA15.15.判断图题所示电路为何种计数器(判断图题所示电路为何种计数器()。)。A.A.异步二进制减法异步二进制减法 B.B.同步二进制减法同步二进制减法 C.C.异步二进制加法异步二进制加法 D.D.同步二进制加法同步二进制加法 16.16.一位一位8421BCD8421BCD码计数器至少需要(码计数器至少需要()个触发器。)个触发器。A.3 B.4 C.5 D.10 A.3

38、 B.4 C.5 D.10 17.17.同步计数器和异步计数器比较,同步计数器的显著同步计数器和异步计数器比较,同步计数器的显著 优点是(优点是()。)。A.A.工作速度高工作速度高 B.B.触发器利用率高触发器利用率高 C.C.电路简单电路简单 D.D.不受时钟不受时钟CPCP控制。控制。CBA18.18.欲设计欲设计0 0,1 1,2 2,3 3,4 4,5 5,6 6,7 7这几个数的计数器,这几个数的计数器,如果设计合理,采用同步二进制计数器,最少应使用(如果设计合理,采用同步二进制计数器,最少应使用()级触发器。)级触发器。A.2 B.3 C.4 D.8 A.2 B.3 C.4 D.

39、8 19.19.图题所示图题所示74HC16174HC161计数器电路的模是(计数器电路的模是()。)。A.7 B.8 C.9 D.10 A.7 B.8 C.9 D.10 BD20.20.把一个五进制计数器与一个四进制计数器串联可得把一个五进制计数器与一个四进制计数器串联可得到(到()进制计数器。)进制计数器。A.4 B.5 C.9 D.20 A.4 B.5 C.9 D.20 21.21.判断图题所示电路为判断图题所示电路为()计数器。计数器。A.A.异步二进制减法异步二进制减法 B.B.同步二进制减法同步二进制减法 C.C.异步二进制加法异步二进制加法 D.D.同步二进制加法同步二进制加法D

40、D22.22.图题分别为四个逻辑电路的状态转移图,其中不具图题分别为四个逻辑电路的状态转移图,其中不具 备自启动特性的是备自启动特性的是()()。B B四、计算分析四、计算分析1.已知图所示单向移位寄存器的已知图所示单向移位寄存器的CPCP及输入波形如图所示,及输入波形如图所示,试画出试画出Q Q0 0、Q Q1 1、Q Q2 2、Q Q3 3波形(设各触发初态均为波形(设各触发初态均为0 0)。)。2.2.在控制测量技术中得到广泛应用的两相脉冲源电路如在控制测量技术中得到广泛应用的两相脉冲源电路如图所示,试画出在图所示,试画出在CPCP 作用下作用下Q Q0 0、Q Q1 1、和输出和输出Z

41、 Z1 1、Z Z2 2 的波形,并说明的波形,并说明Z Z1 1、Z Z2 2的相位的相位(时间关系时间关系)差。差。3.3.在图在图a a所示电路中,设各触发器初始状态均为所示电路中,设各触发器初始状态均为0 0,输入,输入端端A A、CPCP 的波形如图的波形如图b b所示。试画出电路中所示。试画出电路中B B、C C点的波点的波形。形。4.4.利用集成计数器构成图所示两个电路,试分析各电路利用集成计数器构成图所示两个电路,试分析各电路为几进制计数器?并画出状态转换图。为几进制计数器?并画出状态转换图。a)a)为为1313进制计数器进制计数器 b)b)为为5050进制计数器进制计数器状态

42、转换图(略)状态转换图(略)5.5.图是利用图是利用74HC16374HC163构成的构成的N N进制计数器,请分析其为进制计数器,请分析其为几进制计数器?几进制计数器?60 60进制计数器进制计数器6.6.由由74HC29074HC290构成的计数器电路如图所示,试分析它们构成的计数器电路如图所示,试分析它们各为几进制计数器?各为几进制计数器?a)3 a)3进制计数器进制计数器 b)4 b)4进制计数器进制计数器 c)8 c)8进制计数器进制计数器 d)9 d)9进制计数器进制计数器7.7.图是利用图是利用74HC19274HC192构成的构成的2 2位十进制计数器,试分析位十进制计数器,试

43、分析电路为几进制计数器?电路为几进制计数器?6161进制计数器进制计数器8.8.某铅笔厂为了统计需要,要求设计一个四十八进制计某铅笔厂为了统计需要,要求设计一个四十八进制计数器,试画出利用集成计数器数器,试画出利用集成计数器74HC19274HC192构成的电路。构成的电路。9.9.某药品灌装机械,灌装药片为某药品灌装机械,灌装药片为6060片一瓶和片一瓶和100100片一瓶。片一瓶。试利用试利用74HC29074HC290为该机设计一个既适用于计为该机设计一个既适用于计6060片的,也片的,也适合于计适合于计100100片的药片计数器。片的药片计数器。当当S S掷到掷到时,为时,为6060进

44、制计数;当进制计数;当S S掷到掷到时为时为100100进制进制计数。计数。10.10.已知一天有已知一天有2424小时,试利用小时,试利用74HC16074HC160设计一个二十设计一个二十四进制计数器。四进制计数器。11.11.有一石英晶体,标称振荡频率为有一石英晶体,标称振荡频率为32768Hz32768Hz,要用其,要用其产生稳定的秒、分、小时脉冲信号输出,试画出电路框产生稳定的秒、分、小时脉冲信号输出,试画出电路框图,并说明该电路的分频过程。图,并说明该电路的分频过程。12.12.某程序控制机床分某程序控制机床分9 9步循环工作,请用步循环工作,请用CD4017CD4017为该为该机

45、床设计一个机床设计一个9 9步循环控制器(即步循环控制器(即CD4017CD4017的九个输出端的九个输出端Y Y0 0Y Y8 8依此出现高电平)。依此出现高电平)。一、填空题一、填空题 1.1.某单稳态触发器在无外触发信号时输出为某单稳态触发器在无外触发信号时输出为0 0态,在态,在外加触发信号时,输出跳变为外加触发信号时,输出跳变为1 1态,因此,其稳态为态,因此,其稳态为 态,暂稳态为态,暂稳态为 态态,暂稳态所处时间的长短暂稳态所处时间的长短取决于电路本身取决于电路本身 的参数。的参数。2.2.单稳态触发器在数字系统中应用很广泛,通常用于脉单稳态触发器在数字系统中应用很广泛,通常用于

46、脉冲信号的冲信号的 、及及 。3.3.单稳态触发器有单稳态触发器有 _ _ 个稳定状态;多谐振荡器有个稳定状态;多谐振荡器有 _ _ 个稳定状态。个稳定状态。4.4.占空比占空比q q 是指矩形波是指矩形波 持续时间与其持续时间与其 之比。之比。01第六章第六章 脉冲信号的产生与转換脉冲信号的产生与转換01 高电平周期展宽延时整形定时元件 5.5.触发器能将缓慢变化的非矩形脉冲变换成边触发器能将缓慢变化的非矩形脉冲变换成边沿陡峭的矩形脉冲。沿陡峭的矩形脉冲。6.6.施密特触发器有施密特触发器有 个阀值电压,分别称作个阀值电压,分别称作 和和 。7.5557.555定时器型号的最后数码为定时器型

47、号的最后数码为555555的是的是 产品,产品,为为75557555的是的是 产品。产品。8.8.为了实现高的频率稳定度,常采用为了实现高的频率稳定度,常采用 振荡器;振荡器;单稳态触发器受到外触发时进入单稳态触发器受到外触发时进入 态。态。施密特2 上限阀值电压下限阀值电压TTLCMOS石英晶体暂稳二、判断题二、判断题 1.1.当微分电路的时间常数当微分电路的时间常数=RCt=RCtWW时,此时,此RCRC 电路会成为耦合电路。(电路会成为耦合电路。()2.2.积分电路是一种积分电路是一种RCRC串联电路,它是从电容两串联电路,它是从电容两 端上取出输出电压的。(端上取出输出电压的。()3.

48、3.微分电路是一种能够将输入的矩形脉冲变换为微分电路是一种能够将输入的矩形脉冲变换为 正负尖脉冲的波形变换电路。(正负尖脉冲的波形变换电路。()4.4.单稳态触发器的暂稳态维持时间用单稳态触发器的暂稳态维持时间用t tWW表示,与电路表示,与电路 中中RCRC成正比。(成正比。()5.5.单稳态触发器的暂稳态时间与输入触发脉冲宽度成单稳态触发器的暂稳态时间与输入触发脉冲宽度成 正比。(正比。()6.施密特触发器的正向阈值电压一定大于负向 阈值电压。()7.石英晶体多谐振荡器的振荡频率与电路中的 R、C成正比。()8.多谐振荡器的输出信号的周期与阻容元件的参数成正比。()9.方波的占空比为0.5

49、。()10.555定时器不仅可以组成多谐振荡器,而且还可以组成单稳态触发器、施密特触发器。()三、单项选择题三、单项选择题三、单项选择题三、单项选择题 1、若图题中为TTL门微分型单稳态触发器,对R1和R的选择应使稳态时:()A.门G1、G2都导通(低电平输出)B.G1导通,G2截止 C.G1截止,G2导通 D.G1、G2都截止 B2、以下各电路中,可以产生脉冲定时。A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.石英晶体多谐振荡器 B3.如图所示单稳态电路的输出脉冲宽度为 tWO=4s,恢复时间tre=1s,则输出信号的 最高频率为 。A.fmax=250kHz B.fmax1MHz

50、 C.fmax200kHz C4.石英晶体多谐振荡器的突出优点是 。A.速度高 B.电路简单 C.振荡频率稳定 D.输出波形边沿陡峭5.多谐振荡器可产生 。A.正弦波 B.矩形脉冲 C.三角波 D.锯齿波 6.能把2 kHz 正弦波转换成 2 kHz 矩形波的电路是()A.多谐振荡器 B.施密特触发器 C.单稳态触发器 D.二进制计数器CBB 7.7.用来鉴别脉冲信号幅度时,应采用(用来鉴别脉冲信号幅度时,应采用()A.A.稳态触发器稳态触发器 B.B.双稳态触发器双稳态触发器 C.C.多谐振荡器多谐振荡器 D.D.施密特触发器施密特触发器 8.8.输入为输入为2 kHz 2 kHz 矩形脉冲

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 大学资料

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com