本科毕业论文-—现场可编程门阵列fpga模拟电路设计研究设计.doc

上传人:教**** 文档编号:88279871 上传时间:2023-04-24 格式:DOC 页数:183 大小:17.18MB
返回 下载 相关 举报
本科毕业论文-—现场可编程门阵列fpga模拟电路设计研究设计.doc_第1页
第1页 / 共183页
本科毕业论文-—现场可编程门阵列fpga模拟电路设计研究设计.doc_第2页
第2页 / 共183页
点击查看更多>>
资源描述

《本科毕业论文-—现场可编程门阵列fpga模拟电路设计研究设计.doc》由会员分享,可在线阅读,更多相关《本科毕业论文-—现场可编程门阵列fpga模拟电路设计研究设计.doc(183页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、电 子 科 技 大 学UNIVERSITY OF ELECTRONIC SCIENCE AND TECHNOLOGY OF CHINA硕士学位论文MASTER DISSERTATION论 文 题 目: 现场可编程门阵列(FPGA) 模拟电路设计研究 学 科 专 业:微电子学与固体电子学 3独 创 性 声 明本人声明所呈交的学位论文是本人在导师指导下进行的研究工作及取得的研究成果。据我所知,除了文中特别加以标注和致谢的地方外,论文中不包含其他人已经发表或撰写过的研究成果,也不包含为获得电子科技大学或其它教育机构的学位或证书而使用过的材料。与我一同工作的同志对本研究所做的任何贡献均已在论文中作了明

2、确的说明并表示谢意。签名: 日期: 年 月 日关于论文使用授权的说明本学位论文作者完全了解电子科技大学有关保留、使用学位论文的规定,有权保留并向国家有关部门或机构送交论文的复印件和磁盘,允许论文被查阅和借阅。本人授权电子科技大学可以将学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存、汇编学位论文。(保密的学位论文在解密后应遵守此规定)签名: 导师签名: 日期: 年 月 日摘 要摘 要FPGA是英文Field Programmable Gate Array的缩写,即现场可编程门阵列,是在PAL、GAL、EPLD等可编程器件基础上进一步发展的产物。作为专用集成

3、电路(ASIC)领域中的一种半定制电路产品,该产品既解决了定制电路的不足,又避免了原有可编程器件门电路资源有限的缺点。随着工艺尺寸的逐渐减小,现场可编程门阵列FPGA与专用集成电路ASIC之间的性能差异正在逐渐减小。相比较ASIC而言,由于FPGA 的动态可重配置特性极大降低了电路设计公司在产品设计过程中的设计风险与设计成本,缩短了产品上市的时间,减少了用户升级系统所带来的硬件花费。因此,越来越多的电路设计公司开始逐渐使用FPGA作为产品研发与测试的硬件平台。本课题来源为总装备部国防技术重点预研项目和国家863研究发展计划中“可编程逻辑器件”课题的子项目。课题的目的是研究工作电压为2.5 V

4、的FPGA芯片中模拟电路的设计方法,其研究范围主要包括I/O接口电路和FPGA芯片的电源模块。本课题打破了FPGA核心关键设计技术和产品制造被国外公司所垄断的不利局面,满足了国防和工业生产的需要。本论文采用正向和逆向相结合的设计方法,以正向设计思想为指导方向,同时借鉴国外先进的设计经验,以研制支持多达16种高性能接口标准的可动态配置I/O端口,最高工作频率为200MHz,可用逻辑资源为10万门,内部包含总量达40K的用户可用RAM阵列,消耗晶体管个数为530万的现场可编程门阵列FPGA芯片为突破口,完成了可用I/O管脚资源为180、404和512的系列FPGA产品模拟电路的设计。其中I/O管脚

5、资源为180的FPGA产品具有小于4.8ns的输入延时和小于4.0ns的输出延迟,并能够满足FPGA芯片200MHz的最高工作频率。本文中的电路采用TSMC 0.22um 1P5M标准CMOS工艺制程,使用全定制电路与版图设计方法。经仿真验证,该系列FPGA产品所达到的主要技术参数指标,均优于国外同类产品水平。本文的主要创新点为利用SRAM技术的在系统可编程特性,结合模拟电路设计方法的特点,提供了一种能够同时满足多标准接口应用与可动态配置要求的I/O接口电路结构。该结构相比过去的各种I/O接口电路结构而言,不但节约了芯片面积,而且能够支持多种不同的接口标准。本文所设计的多标准高性能接口电路已应

6、用在采用陶瓷封装形式的FPGA中,该产品解决了国外同类型产品没有军品级器件的问题,满足重点军事工程的需求。本文所设计的电路已完成后端版图设计与仿真验证,目前处于流片阶段,其他系列产品的设计均按型谱项目的进度要求正在进行中。该系列产品的研制成功打破了国外对该系列器件的禁运,为我军关键电子元器件的国产化贡献了力量。关键词:FPGA 可动态配置I/O 多标准 5V容许 Weak-Keeper IXABSTRACTABSTRACTFPGA was the abbreviation of the Field Programmable Gate Array .It was base on the prog

7、rammable divices ,such as PAL and EPLD.It offset the ASICs disadvantage whose logic resouce was too less.With the character size smaller and smaller ,the distance of performance between FPGA and ASIC was smaller and smaller.But FPGA decreased the risk and cost in the product design, for its characte

8、r of the dynamic reuse ,and shorten the time which the product come into the market.And more and more Fabless began to use it as the design and test platform.This research subject came from Hi-Tech Research and Development Program of China and General Equipment Headquarters. It aimed at developing s

9、eries products of 2.5v FPGA, including I/O interface circuit and power system, breaking through the adverse situation as all of the FPGA products and design technology were monopolized by several American companies, and satisfying urgent demands of national defence.A method of “top-down” design and

10、reverse design was adopted in this paper. We took the idea of “top-down” design as guidance, as well as used foreign advanced design experience for reference and developed a FPGA containing 20*30 CLB-arrays, an internal counter of 200MHz, 100K gates,supporting 16 high-performance interface standards

11、 as a breakthrough, a series of FPGA family products, whose maximum available I/O number is 180 , 404 and 512, have been developed respectively. The 180-I/O FPGA has a 4.8ns pin-to-pin input delay and 4.0ns pin-to-pin output delay or less. This paper was based on a 0.22um 1P5M standard CMOS technolo

12、gy process, and on a design technology of custom layout. The primary technology parameters of the FPGA family products accomplish the foreign advanced level of kindred products.New idea of our research subject was a new I/O cicuit structure by using the SRAM array design to realize in-system program

13、mable and the characters of analog cicuit design.This structure can reduce the chip area and give higher performance.The 180-I/O FPGA chip with ceramic packages solved the problem that there were no military devices in foreign kindred products and satisfied the requirement of important military engi

14、neering. This product has been finished the layout design.Other designs of the FPGA series were completed and were ahead of the schedule of plan. The products were used and approbated by many customer, we broke the forbiddance for the devices by foreign countries, and contributed that the key device

15、 can be established in China for our army.Keywords: FPGA Dynamic-configuration I/O Multi-standards 5V-tolerance Weak-keeper目 录目 录第一章 绪 论11.1 课题的背景和意义11.1.1 现场可编程门阵列简介21.1.2 SRAM编程技术介绍31.1.3 FPGA和ASIC的对比41.1.4 市场需求分析61.2 国内外研究现状与发展趋势71.2.1 国外研究现状71.2.2 国内研究现状121.2.3 未来发展趋势131.3 主要内容、创新及论文安排13第二章 FPGA

16、多标准兼容可编程I/O相关技术研究162.1 架构技术研究162.1.1 学术FPGA架构技术研究162.1.1.1 FPGA算法研究162.1.1.2 FPGA整体架构研究172.1.2 商业FPGA架构技术研究192.1.2.1 Xilinx公司FPGA架构192.1.2.2 Altera公司FPGA架构202.1.2.3 各类FPGA架构分析212.2 多标准兼容可编程I/O技术研究212.2.1 电平接口标准研究212.2.1.1 专业术语222.2.1.2 接口标准分类222.2.2 CMOS I/O设计技术研究272.2.3 CPLD编程I/O技术研究282.2.3.1 CPLD可

17、编程I/O设计技术282.2.3.2 CPLD I/O输出skew控制设计技术282.2.4 FPGA可编程I/O技术研究302.2.4.1 FPGA可编程I/O技术研究302.2.4.2 FPGA中可编程I/O的分类312.2.5 可编程技术I/O比较31第三章 FPGA多标准兼容可编程I/O设计与验证323.1 设计技术参数和设计要求323.1.1 设计要求323.1.2 设计技术参数353.2 FPGA可编程标准I/O设计363.2.1 总体结构363.2.2 设计原理和设计方法383.2.2.1 输出缓冲器393.2.2.2 输入缓冲器413.2.2.3 I/O BANK介绍443.3

18、 FPGA可编程非标准I/O设计453.3.1 全局时钟输入管脚453.3.2 配置控制信号输入管脚473.3.3 配置控制信号输出管脚483.4 FPGA可编程标准I/O核心电路设计483.4.1 输出数据通路设计483.4.1.1 输出数据选择控制单元UIO_OUTMUX483.4.1.2 输出数据缓冲器单元UIO_OUTBUF503.4.2 输入数据通路设计643.4.2.1 输入缓冲器单元设计UIO_INBUF643.4.2.2 输入数据阈值损失补偿单元UIO_REFIN713.4.2.3 可编程延迟与输入通道选择模块753.4.3 I/O工作模式控制与配置单元设计773.4.3.1

19、配置信息存储与选择单元设计773.4.3.2 I/O工作模式控制单元设计793.4.4 边界扫描链与输入输出寄存器单元设计813.4.5 输入输出保护与PCI接口标准控制电路853.4.5.1 5V容许保护电路853.4.5.2 weak keeper数据保持单元913.4.5.3 上拉和下拉电阻933.5 多标准兼容非标准I/O接口核心电路设计933.5.1 全局时钟输入I/O单元设计933.5.5.1 时钟输入缓冲器单元AGCK_IN943.5.5.2 配置点存储单元模块ARRSRAM_DOWN963.5.5.3 边界扫描单元模块BSCAN_B973.5.5.4 全局输入时钟延迟补偿模块G

20、CLK_COMPENSATION973.5.2 配置控制信号输入管脚1043.5.3 配置控制信号输出管脚1053.6 多标准I/O接口电路的扩展1063.6.1 LVDS接口标准介绍1063.6.2 电路实现原理1083.7 定制版图设计1083.8 整体电路功能与参数仿真109第四章 FPGA电源与时钟系统研究与设计1124.1 DLL电源系统设计1124.1.1 设计要求1124.1.2 设计原理1134.1.3 基准电路单元设计1144.1.4 低通滤波单元设计1174.1.5 整形电路单元设计1204.1.6 整体电路仿真1214.2 上电复位电路单元设计1244.2.1 设计要求1

21、244.2.2 设计原理1264.2.3 整体电路设计1264.2.4 整体电路仿真1314.2.5 定制版图设计1324.3 SRAM电源系统设计1334.3.1 设计要求1334.3.2 设计原理1334.3.3 电压比较器单元设计1344.3.4 SRAMVDD电压控制单元设计1354.3.5 整体电路仿真1394.4 内部配置时钟发生电路单元1414.4.1 设计要求1414.4.2 设计原理1434.4.3 振荡器单元设计1454.4.4 整体电路设计与仿真1474.4.4 定制版图设计1524.5 FPGA内部模拟电路单元综述152第五章 FPGA系列产品的模拟电路设计与验证154

22、5.1 FPGA系列产品设计方法1545.2 FPGA系列产品的模拟电路异同点1555.2.1 相同点1555.2.2 不同点1565.3 FPGA 系列产品的模拟电路设计与实现156第六章 FPGA 可编程I/O接口电路测试方法研究1586.1 I/O接口电路测试方法研究1586.2 I/O接口电路测试方案159第七章 结 论162致 谢164参考文献165攻硕期间取得的研究成果167第一章 绪 论第一章 绪论1.1 课题的背景和意义FPGA是英文Field Programmable Gate Array的缩写,即现场可编程门阵列,它是在PAL、GAL、EPLD等可编程器件的基础上进一步发展

23、的产物。作为专用集成电路(ASIC)领域中的一种半定制电路,该产品既解决了定制电路的不足,又克服了原有类型的可编程器件门电路数有限的缺点。由于FPGA产品具有上市时间短、设计成本低、便于升级与重复使用的特点,目前被广泛应用在通信、航天、航空、导航、遥感、遥测、程控交换机等军、民用领域1。FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个新概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Output Block)和内部连线(Interconnect)三个主要部分。对于一个实际投入商业与工业应用的FP

24、GA而言,没有I/O接口、上电复位和电源系统等模拟电路模块就不能保证FPGA在各种实际应用环境中正常工作。因此对于投入实际应用的FPGA产品而言,模拟电路的设计是至关重要的。但是,由于中国集成电路产业受到产业高速增长与核心技术长期受制国外技术壁垒的双重影响,出现了产业规模盲目扩大与产品效益低下并存,外资大量进入与本土大企业缺失并存的尴尬局面。由于外资的巨大贡献,中国的整机制造产业(包括计算机、通信设备制造和视听产业)仍具有较强的国际竞争力,而本土的元器件研发产业在全球所占的份额则十分有限。这一格局在客观上反映了国内的企业与科研院所尚不具备与跨国公司开展全面竞争,中国电子信息产业仍处于加工组装阶

25、段的现实。因此,从某种意义上来讲,中国电子产业的基础研发能力大而不强。基于以上原因,国内在可编程逻辑器件领域尚处于起步阶段,研究大多集中于电路综合和布局布线算法理论。对于实际的FPGA芯片设计,尤其是商业化FPGA芯片中模拟电路的设计与研究尚未有成功先例。因此,掌握了FPGA模拟电路设计技术,可以加速我国FPGA研制进程,缩短与先进国家水平的差距,从而在军事和国民经济各领域发挥良好的经济效益和社会效益。1.1.1 现场可编程门阵列简介所谓现场可编程门阵列(FPGA)是指可以方便地通过实时下载不同的配置位流文件(bit stream),而实现不同逻辑功能的门阵列芯片。20世纪80年代中期,Alt

26、era和Xilinx分别推出了与标准门阵列类似的FPGA,它具有体系结构和逻辑单元灵活、集成度高以及适用范围宽等特点。这种器件兼容了PLD和通用门阵列的优点,可实现较大规模的电路,编程也很灵活。与其它ASIC相比,它们具有设计开发周期短、设计制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点。与PAL、GAL器件相比,它的优点是可以实时地对内置的SRAM或EPROM编程,以实时地改变器件功能,实现现场可编程(基于EPROM型)或在线重配置(基于SRAM型)。因此,FPGA被广泛应用于产品的原型设计和产品生产之中,几乎所有应用门阵列、PLD和中小规模通用数字集成电路的场

27、合均可应用FPGA器件。FPGA通常包含三类可编程资源:可编程逻辑功能块、可编程I/O块和可编程互连。可编程逻辑功能块是实现用户功能的基本单元,它们通常排列成一个阵列,散布于整个芯片;可编程I/O单元实现芯片上逻辑与外部封装脚的接口,围绕着阵列于芯片四周;可编程内部互连包括各种长度的线段和编程连接开关,它们将各个可编程逻辑块或I/O块连接起来,构成特定功能的电路。不同厂家生产的FPGA在可编程逻辑块的规模,内部互连线的结构和采用的可编程元件上存在较大的差异。目前,在市场上比较常用的是Xilinx和Altera公司的FPGA器件。由于FPGA 能够减少电子系统的开发风险和开发成本(NRE),同时

28、有效的缩短上市时间(time to market),并且通过在系统编程、远程在线重构等技术降低了维护和升级成本,因此在通信、控制、数据计算等领域得到了广泛的应用。FPGA 的发展推动了先进制造工艺和封装工艺的出现,通过全定制的电路和版图设计方法所进行的设计优化,使FPGA 在逻辑密度、性能、功能和功耗方面得到大幅改善,成本显著下降。随着FPGA 在功能、密度、速度上的不断提升与成本的降低,用户需求与协议标准的变化,FPGA 芯片由于其便利的可升级性,不但被用来制作原型机,而且还被大量地应用到网络、消费电子、科研、航天及国防的许多最终产品中,成为一系列电子系统的核心。1.1.2 SRAM编程技术

29、介绍通过对熔丝、EPROM、EEPROM、 SRAM,反熔丝和Flash等可编程技术的研究,总结可编程逻辑器件可编程技术的特性,见表1-1。表1-1 可编程开关技术比较编程技术可重复编程易失性制造工艺熔丝否否BipolarEPROM电路外否UVCMOSEEPROM在电路否EECMOSSRAM在电路是CMOS反熔丝否否CMOS+Flash在电路否FlashSRAM编程技术最先使用是在Xilinx公司的FPGA产品中,现在已经广泛使用在其他公司的FPGA产品中。基于该种编程技术的FPGA的可编程互联、可编程I/O、CLB单元的的配置信息都存储于SRAM阵列中。图11示出了Xilinx公司的一个5管

30、SRAM配置单元,该单元是由首尾相连的两个反相器和一个导通晶体管构成的2。图1-1 5管SRAM配置单元SRAM技术的优点是采用标准CMOS工艺,可重复设计和在系统可重配置。缺点是易失性,每次断电后SRAM的数据就不存在了。所以在使用SRAM型FPGA时需要外挂存储单元(通常是PROM),这样在每次上电时,从存储器中装载配置数据。另外,采用SRAM技术编程的FPGA所需芯片面积是最大的,这是由于通常一个SRAM单元都需要56个单管组成3。由于本次课题采用的制造工艺是标准CMOS工艺,所以选择SRAM做为FPGA内部各个配置点配置信息的存储单元,并且结合SRAM在系统可重配置的特性,利用模拟电路

31、的设计方法,实现兼容多种接口标准的在线可重配置I/O接口电路的设计。1.1.3 FPGA和ASIC的对比目前在电子行业使用比较多的产品主要有ASIC和FPGA,在这两者之间FPGA是最具有技术优势的一种产品,并且具有逐渐取代ASIC的趋势。ASIC是专用集成电路的英文简称。在过去的一段时间中,ASIC设计技术一直是集成电路设计市场的主流。一般说来,ASIC主要针对大批量生产的专用产品,以尽可能的降低生产设计成本。而FPGA由于其良好的可配置特性广泛应用小批量的产品设计中,尤其是在ASIC的原型验证阶段经常使用FPGA来构建硬件平台。但是随着FPGA在性能、密度上的提升,以及芯片制造工艺的改进导

32、致的NRE成本激增,使ASIC的市场逐渐被FPGA所占据。对于ASIC与没有使用嵌入式硬核基于LUT的FPGA(图1-2)而言,ASIC与FPGA的延迟性能大概相差1214倍,并且该结果针对0.25um90nm的CMOS工艺都是基本适用的。不考虑性能的情况下,对于实现相同的逻辑功能而言,没有使用硬核的FPGA比ASIC面积大35倍,动态功耗增加14倍以上。图1-2 不包含嵌入式硬核的FPGA电路结构图为了逐渐减小FPGA与ASIC之间的性能差异,尤其是降低FPGA与ASIC的功耗和面积差距,越来越多的嵌入式硬核被加入到FPGA芯片中去。硬核的使用大大降低了FPGA的芯片面积和动态功耗,但是对于

33、芯片速度的提升而言影响不是很明显,因此要根据具体的应用要求来选择合适的FPGA使用方法。而减小的芯片面积可以大幅度的降低互联线电容和负载电容的影响,所以根据动态功耗的公式可以知道,随着面积的减小,电容也相应减小,从而降低了芯片的动态功耗。在相同性能的条件下,使用硬核的FPGA和ASIC相比,面积增大5倍,动态功耗增大7.112倍,速度慢3.44.6倍4。正是由于嵌入式硬核的使用,减小了FPGA与ASIC之间在性能上的差距。因此,在当今的FPGA设计中越来越多的嵌入式硬核被加入到FPGA结构中去,最常见的有高速I/O接口电路、RAM阵列、硬件乘法器和DLL单元。用户可对FPGA内部的逻辑模块和I

34、/O模块重新配置,以实现用户的逻辑。它还具有静态可重复编程和动态在系统重构的特性,使得硬件的功能可以像软件一样通过编程来修改。作为专用集成电路(ASIC)领域中的一种半定制电路,FPGA既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。可以毫不夸张的讲,FPGA能完成任何数字器件的功能,上至高性能CPU,下至简单的74电路,都可以用FPGA来实现。FPGA如同一张白纸或是一堆积木,工程师可以通过传统的原理图输入法,或是硬件描述语言自由的设计一个数字系统。通过软件仿真,可以事先验证设计的正确性。在PCB完成以后,还可以利用FPGA的在线修改能力,随时修改设计而不必改动硬件电路。使

35、用FPGA来开发数字电路,可以大大缩短设计时间,减少PCB面积,提高系统的可靠性。FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此工作时需要对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。加电时,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完成后,FPGA进入工作状态。掉电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA能够反复使用。FPGA的编程无须专用的FPGA编程器,只须用通用的EPROM、PROM编程器即可。当需要修改FPGA功能时,只需换一片EPROM即可。这样,同一片FPGA通过使用不同的编程数据,可以产生不同的电路功能。因此

36、,FPGA的使用非常灵活。可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。综合以上各方面,ASIC在产品生产规模比较大时,能够在降低生产成本方面有一定的优势。FPGA由于可以用VHDL或Verilog HDL来编程,灵活性最强,并且能够进行编程、除错、再编程和重复操作。同时,由于没有NRE成本,因此可以充分地进行设计开发和验证。相比较于ASIC,当设计的电路有少量改动时,更能显示出FPGA的优势,其现场编程能力可以用来进行系统升级或除错,大大延长了产品在市场上的寿命。综合看来,FPGA更加符合未来电子行业的发展,在未来必将得到更为广泛的应用,并将逐步取代ASIC,在电子产

37、业中发挥巨大的作用。1.1.4 市场需求分析FPGA在我军军事装备中被广泛用于航空、航天、船舶、兵器、电子、核能等各研究所及工厂,为我军装备的跨越式发展与技术性能的提高提供了良好契机与巨大潜力。采用FPGA可快速替代原有的成熟板级电路系统,从而大大减小整机重量,且无需承担投片风险,利用最少的成本实现军用装备“减重增程”的目标。所有FPGA在出厂之前都做过百分之百的故障测试,保证了出厂芯片的功能正确,设计人员只需在自己的实验室里就可以通过相关的软硬件环境来完成芯片的最终设计。所以,整机设计采用FPGA的方案,技术风险更小、开发周期更短,资金投入更小,节省了许多潜在的故障检测花费。为了符合我军国防

38、现代化的发展要求,军用电子装备对FPGA的需求也呈现出了逐年增长的趋势。一方面,这是由于军用集成电路的种类繁多,如果使用ASIC(专用集成电路)技术去实现的话存在设计生产周期长、投资成本高、设计风险大的缺点。另一方面,由于国防技术的不断发展和国防装备现代化的需求,电子武器装备的更新换代逐渐加快,这就对电子武器系统的升级和维护提出了一定的要求,而FPGA具有升级方便、便于维护的特点。因此,越来越多的军用电子设备开始广泛使用FPGA来进行武器装备的设计和生产。但是,目前国内所使用的FPGA器件全部依靠进口,并且其核心生产设计和制造技术完全被国外公司垄断,由于产品进货渠道狭窄,产品价格昂贵。国内在可

39、编程逻辑器件领域还处于起步阶段,国内多家研制单位作了大量基础性的工作,并取得了阶段性的科研成果,为军用可编程逻辑器件的发展奠定了坚实的技术基础。目前,我军所使用的FPGA器件主要依赖于从美国的Xilinx、Altera和Actel这三家公司进口,这种现象已经引起我军科研管理单位的高度重视。因此,现场可编程门阵列将是继AD/DA、CPU和DSP等之后的下一个研究热点。综上所述,一方面是要解决我军军用装备研制单位对军用级FPGA产品的迫切需求,解决FPGA器件完全依赖进口的被动局面;另一方面是FPGA器件对我军用装备的巨大技术推动作用和为我军军用装备跨越式发展提供了良好的契机。以上两方面都明确的表

40、明研制军用级FPGA芯片已迫在眉睫、刻不容缓。通过本课题的研究,掌握可编程逻辑器件中模拟电路的核心设计技术,除可满足武器装备国产化需求外,同时也可以探索可编程逻辑器件中模拟电路设计的一套行之有效的方法,攻破可编程逻辑器件设计的壁垒,为自主设计高性能、高密度的FPGA奠定坚实的理论和实践基础。1.2 国内外研究现状与发展趋势1.2.1 国外研究现状目前,国外的FPGA的设计技术和加工工艺成熟,产品门类齐全,可以提供上百个系列品种,芯片集成度已达到上百万门,并广泛应用于通信、航天、航空、导航、遥感、遥测、程控交换机等军、民用领域。目前,国内外可编程逻辑器件被美国几家著名大公司所垄断。其技术经过近二

41、十年的经验积累,技术力量十分雄厚。器件尺寸达到65nm,规模达到千万门级。各公司在宇航和军用电子元器件的发展十分迅速。世界排名前四的可编程器件供应商分别为Xilinx、Altera、Actel、Lattice,这几家可编程设计公司是全球领先的可编程逻辑完整解决方案的供应商。下面针对国外各大可编程器件厂商的产品进行介绍5。(1)Xilinx公司:图1-3 Xilinx公司FPGA产品谱图Xilinx公司成立于1984年,Xilinx首创了现场可编程逻辑阵列(FPGA)这一创新性的技术,并于1985年首次推出商业化产品。目前Xilinx满足了全世界对FPGA产品一半以上的需求。Xilinx公司的F

42、PGA器件具有十分突出的特色:基于SRAM架构,可“无限次”编程;LUT可配置为分布式RAM;块RAM可配置为多种模式;全数字式的时钟管理系统,可提供灵活精确的时钟信号;Versa-Ring提供了IOB与CLB的连接,可以更便利的实现PIN锁定;高端产品如VIRTEX-II Pro、VIRTEX-II Pro X嵌入了微处理器和专用乘法器,VIRTEX4 FX嵌入了处理器,提供系统的解决方案,功能更加强大等。图1-3给出了Xilinx公司FPGA产品型号谱图。Xilinx于2006年又推出了其最新系列的产品VIRTEX-5 系列,该系列提供 FPGA 市场中最新最强大的功能。VIRTEX-5

43、系列采用第二代 ASMBL(高级硅片组合模块)列式架构,包含四种截然不同的平台(子系列),比此前任何 FPGA 系列提供的选择范围都大。每种平台都包含不同的功能配比,以满足诸多高级逻辑设计的需求。该系列主要由LX、LXT、SXT 和 FXT 四个平台构成,其中VIRTEX-5 LX是针对高性能通用逻辑应用,VIRTEX-5 LXT是针对具有高级串行连接功能的高性能逻辑,VIRTEX-5 SXT是针对高性能信号处理应用,VIRTEX-5 FXT是针对高性能嵌入式系统。除了最先进的高性能逻辑架构,VIRTEX-5 FPGA 还包含多种硬 IP 系统级模块,包括强大的 36Kb Block RAM/

44、FIFO、第二代 25 x 18 DSP Slice、带有内置数控阻抗的 SelectIO 技术、ChipSync 源同步接口模块、系统监视器功能、带有集成 DCM(数字时钟管理器)和锁相环(PLL)时钟发生器的增强型时钟管理模块以及高级配置选项。LXT 和 SXT 器件还包含针对增强型串行连接的电源优化高速串行收发器模块、一个符合 PCI Express 的集成端点模块和三态以太网 MAC(媒体访问控制器)。这些功能使高级逻辑设计人员能够在其基于 FPGA 的系统中体现最高档次的性能和功能。VIRTEX-5 FPGA 以最先进的65nm 12层金属的铜工艺技术为基础,芯片内核电压为1V,是定

45、制 ASIC 技术的可编程替代方案。大多数高级系统设计都需要 FPGA 的可编程能力。VIRTEX-5 FPGA 以前所未有的逻辑、DSP、软/ 硬微处理器和连接功能提供最佳解决方案,以满足高性能逻辑设计人员、高性能 DSP设计人员和高性能嵌入式系统设计人员 的需求。VIRTEX-5 LXT、SXT 和 FXT 平台具有先进的高速串行连接功能和链路/事务层功能。强大的时钟管理模块(CMT)时钟控制,具有零延迟缓冲、频率综合和时钟相移功能的数字时钟管理器模块,具有输入抖动滤波、零延迟缓冲、频率综合和相位匹配时钟分频功能的PLL模块。在该产品系列中,只有LX平台没有Rocket I/O GTP 收

46、发器、PICE端点模块和以太网MAC模块。芯片内部最高工作频率可达550M6。(2)ALTERA公司:ALTERA 公司于1983年6月在美国SAN JOSE创立,也是一家提供可编程逻辑器件的专业设计公司。ALTERA是复杂可编程逻辑器件(CPLD)的发明者,该公司于1988年在NASDAQ挂牌(ALTR),进入90年代以后,ALTERA更成为发展最快的可编程逻辑器件厂商之一。图1-4给出了ALTERA公司FPGA产品的型号谱图。图1-4 ALTERA公司FPGA产品系列谱图ALTERA公司的FPGA器件有FLEX、CYCLONE、STRATIX三个系列。ALTERA公司器件系列丰富,产品应用

47、范围广。除了早期型号FLEX系列没有内嵌存储器之外,后来的系列都内嵌了存储逻辑块,部分高端产品还嵌入DSP或者ARM微处理器。集成度、性价比都较高,其中以CYCLONE系列最突出。目前FLEX8000已停产,FLEX6000和FLEX10K已经较少使用,基本被ACEX1K和CYCLONE取代,目前ACEX、APEX、CYCLONE和STRATIX系列为该公司的主流产品789。(3)Actel公司:图1-5 ACTEL公司FPGA产品系列谱图Actel公司1985年在美国加州组建,是现场可编程门阵列器件(FPGA)的专业制造商。Actel公司于1988年推出第一个反熔丝FPGA产品,它的FPGA产品被广泛应用于通讯、计算

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 教案示例

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com