基于fpga的热电偶温度巡检仪的设计--本科毕业设计论文.doc

上传人:教**** 文档编号:86823001 上传时间:2023-04-15 格式:DOC 页数:52 大小:1.91MB
返回 下载 相关 举报
基于fpga的热电偶温度巡检仪的设计--本科毕业设计论文.doc_第1页
第1页 / 共52页
基于fpga的热电偶温度巡检仪的设计--本科毕业设计论文.doc_第2页
第2页 / 共52页
点击查看更多>>
资源描述

《基于fpga的热电偶温度巡检仪的设计--本科毕业设计论文.doc》由会员分享,可在线阅读,更多相关《基于fpga的热电偶温度巡检仪的设计--本科毕业设计论文.doc(52页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、内蒙古科技大学毕业设计说明书(毕业论文)题 目:基于FPGA的热电偶温度巡检仪的设计44毕业设计(论文)原创性声明和使用授权说明原创性声明本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得 及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。作 者 签 名: 日 期: 指导教师签名: 日期: 使用授权说明本人完全了解 大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学

2、校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。作者签名: 日 期: 学位论文原创性声明本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。作者签名: 日期: 年 月 日学位论文版权使用授权书本学位

3、论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权 大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。涉密论文按学校规定处理。作者签名:日期: 年 月 日导师签名: 日期: 年 月 日注 意 事 项1.设计(论文)的内容包括:1)封面(按教务处制定的标准封面格式制作)2)原创性声明3)中文摘要(300字左右)、关键词4)外文摘要、关键词 5)目次页(附件不统一编入)6)论文主体部分:引言(或绪论)、正文、结论7)参考文献8)致谢9)附录(对

4、论文支持必要时)2.论文字数要求:理工类设计(论文)正文字数不少于1万字(不包括图纸、程序清单等),文科类论文正文字数不少于1.2万字。3.附件包括:任务书、开题报告、外文译文、译文原文(复印件)。4.文字、图表要求:1)文字通顺,语言流畅,书写字迹工整,打印字体及大小符合要求,无错别字,不准请他人代写2)工程设计类题目的图纸,要求部分用尺规绘制,部分用计算机绘制,所有图纸应符合国家技术标准规范。图表整洁,布局合理,文字注释必须使用工程字书写,不准用徒手画3)毕业论文须用A4单面打印,论文50页以上的双面打印4)图表应绘制于无格子的页面上5)软件工程类课题应有程序清单,并提供电子文档5.装订顺

5、序1)设计(论文)2)附件:按照任务书、开题报告、外文译文、译文原文(复印件)次序装订3)其它基于FPGA的热电偶温度巡检仪的设计摘要随着现代控制技术的发展,在工业控制领域需要对现场数据进行实时采集、控制,例如在发电厂、钢铁厂、化工领域的生产中都需要对大量数据进行现场采集,而温度采集又是其中极为重要的部分。本课题针对温度检测仪的技术要求,设计了一种4路热电偶温度检测仪。该仪表可以检测4个测试点的温度,可广泛应用于工业生产和人们日常生活中。该装置不仅具有精度高、功耗低的优点,还可以及时显示,操作使用方便。总体设计采用两种方案。方案一:热电偶在经过多路的选择之后, 经冷端补偿和放大处理,进入A/D

6、转换器,经过FPGA芯片处理并在LED上显示;方案二:热电偶输出信号直接经集成芯片MAX6675处理,再经过FPGA芯片在LED上显示。软件则分别在MUX-PLUS和Quartus环境下用VHDL语言实现。关键词:热电偶;温度巡检仪;FPGA; FPGA-based thermocouple temperature data logging devices designAbstractWith the development of science and technology,The field of industrial control needs for real-time field d

7、ata acquisition and control, for example, power plants, iron and steel plant, chemical industry production of large amounts of data, they also need to conduct on-site collection, and the temperature acquisition is an extremely important part of them.The topics needs the temperature of the technical

8、requirements,I design a 4-way Thermocouple Temperature Detector. The instrument can detect the four test points temperature,Can be widely used in industrial production and peoples daily life. The device not only has high accuracy and the advantages of low power consumption, but also shows in time th

9、at the operation is easy to use. I use two types of programs to achieve. The first program: first of all, thermocouple is selected by multi-channel selector, then the signal is passed by cold junction compensation and amplification processing, then it passed in A / D conversion, After it be processe

10、d by FPGA chip and displayed in the LED, finally. The second program: the signal, outputted by thermocouple, directly be passed into the MAX6675 IC,and processed by FPGA chip and displayed in the LED. The software function is in the MUX-PLUS and Quartus environment with VHDL language.Key words: Ther

11、mocouple; temperature data logging devices; FPGA;目 录摘要IAbstractII第一章 引 言11.1 研究背景11.2 温度巡检仪发展概况11.3 研究意义1第二章 EDA技术介绍32.1 电子设计自动化(EDA)技术概述32.1.1 电子设计自动化(EDA)技术32.1.2 可编程逻辑门陈列(FPGA)42.2 EDA设计流程52.2.1 设计输入62.2.2 综合62.2.3 适配72.2.4 时序仿真72.2.5 编程下载72.2.6 硬件测试82.3 VHDL硬件描述语言介绍8第三章 温度巡检仪总体方案设计93.1 基于单片机的温度巡

12、检仪93.2 基于虚拟仪器的温度巡检仪103.3 总体方案选择与设计11第四章 总体设计方案介绍134.1 基于LPM_ROM的热电偶温度巡检仪的设计134.1.1 系统硬件设计134.1.2 系统软件设计194.2 基于MAX6675的热电偶温度巡检仪设计314.2.1 系统硬件设计314.2.2 系统软件设计35第六章 总结38参考文献39附录A40附录B41附录C42附录D43致谢44第一章 引 言1.1 研究背景随着现代科学技术的发展,在现代化的工业生产中,电流、电压、温度、压力、流量、流速和开关量都是常用的主要被控参数。例如:在冶金工业、化工生产、电力工程、造纸行业、机械制造和食品加

13、工等诸多领域中,人们都需要对各类加热炉、热处理炉、反应炉和锅炉中的温度进行检测和控制。在工业领域极端恶劣工作环境下,温度的测量常伴有巨大的撞击力或高温气体的高速流动,其共同特点是温度高且是瞬态变化的,响应时间可达ms甚至ps级,测量技术难度大.目前,常用的温度采集系统绝大部分是由集成温度传感器和单片机构成的,这种方案有一定的局限性,因此采用效率和自动化水平更高的新的测量手段,是温度测控系统的发展趋势。1.2 温度巡检仪发展概况在温度巡检仪没有普及运用之前,温度计测温被运用在大多数温度测量场合。由于其本身的结构和功能所限,它只能对一些要求精度不高的地方进行较粗略检测,从而需要严格控温的场合则没有

14、办法检测,最终影响到生产的效率及效益。随着科学技术的发展,出现了能够对多点温度进行巡回定点检测并显示的温度巡检仪。温度巡检系统不仅要进行数据的自动采集处理与实时控制,而且要考虑数据的分析与管理。系统对大量有关联数据的存储,目的是为让用户方便地访问和使用数据资源,将采集到的实时数据和历史数据完整、系统地管理起来。在确保数据的安全性、完整性的同时,管理者直接面对生产现场,并根据现场的实际作业信息及时发出指令,进行全局统筹调度与协调。1.3 研究意义温度巡检仪的出现和发展顺应了时代和工业发展的趋势。它是由温度传感器和显示、记录仪表构成。其测温原理是:多个传感器的输出电参数随温度的变化而变化,输出并变

15、换成统一规格的电信号,由多路自动开关逐路选通,以采样、量化、编码和必要的辅助运算方法将模拟量转换成数字量。再经数字电路或微处理器及外围电路处理后输出驱动显示和记录机构,周期性地采集被测信号。第二章 EDA技术介绍2.1 电子设计自动化(EDA)技术概述2.1.1 电子设计自动化(EDA)技术EDA是电子设计自动化(Electronic De-sign Automation)的英文缩写,是20世纪90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。EDA技术就是以计算机为工具,在EDA软件平台上,根据硬件描述语言HD

16、L完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布局线、仿真,直至对于特定目测网络是否畅通;第三阶段,建立用户和安装常用的故障报警装置。EDA技术是以计算机科学和微电子技术发展为先导,汇集了计算机应用科学、微电子结构、工艺学和电子系统科学的最新成果的先进CAD(Computer Aided Design)技术,它是在先进的计算机工作平台上开发出的一系列电子设计软件系统。根据电子设计的发展特征,EDA技术的发展过程可划分为四个阶段:第一阶段起始于60年代中期,人们开始用计算机设计印刷电路板-PCB(Printed CircuitBoard)设计,产生了电子CAD概念,标志着电子CA

17、D技术的诞生。第二阶段从70年代开始,随着产业发展的迫切需要,除了将CAD用于电路绘图外,又增加了电路功能设计和结构设计,通过网络表将两者结合在一起。这就是CAE(Computer AidedEngineering)的概念,主要用于电气原理图的输入、逻辑仿真、电路分析、布局布线和PCB设计。著名的电路仿真软件SPICE (Simulation Program for Integrated Circuit Emphasis)就是这个时代的代表作。第三阶段从80年代初至九十年代初,EDA技术延伸到半导体芯片的设计。运用EDA技术设计并生产出了许多可编程半导体芯片。同时出现了一批适用于微机的电路仿真

18、和设计的软件,如PSPICE、EWB( Electrinic Workbench)等。第四阶段从九十年代至今。这个时期微电子技术以惊人的速度发展,其工艺已达到深亚微米级,在一个芯片上可集成几百万只仍至上千万只晶体管。这就给EDA技术提出了新的挑战,从而又大大地促进了EDA技术的发展,产生了许多规模较大的EDA工具软件系统,如Cadence、Synopsys以及我国的熊猫系统等。可以说这个阶段才真正称得上是EDA时期。现在EDA这个词用得很广,有将PROTEL、PSPICE、EWB、POWERPCB等都称为EDA软件,这或许是不恰当的。如上所述,EDA就是利用计算机,通过软件方式的设计和测试,达

19、到对既定功能的硬件系统的设计和实现。EDA技术中最为瞩目的和最具现代电子设计技术特征的功能就是日益强大的仿真测试技术。EDA仿真测试技术只需通过计算机就能对所设计的电子系统从各种不同层次的系统性能特点完成一系列准确的测试与仿真操作,在完成实际系统的安装后还能对系统上的目标器件进行所谓边界扫描测试。这一切都极大地提高了大规模系统电子设计自动化程度。与单片机系统开发相比,利用EDA技术对FPGA/CPLD的开发,通常是一种借助于软件方式的纯硬件开发,因此可以通过这种途径进行所谓专用集成电路(ASIC)开发,而最终的ASIC芯片,可以是FPGA/CPLD,也可以是专制的门阵列掩模芯片,FPGA/CP

20、LD只起到硬件仿真ASIC芯片的作用。而利用计算机进行的单片机系统的开发,主要是软件开发,在这个过程中只需程序编译器就可以了,综合器和适配器是没有必要的,其仿真过程是局部的且比较简单。2.1.2 可编程逻辑门陈列(FPGA)FPGA由许多独立的可编程逻辑模块组成,用户可以通过编程将这些模块连接起来实现不同的设计。FPGA兼容了MPGA和阵列型PLD两者的优点,因而具有更高的集成度、更强的逻辑实现能力和更好的设计灵活性。1FPGA的分类不同厂家、不同型号的FPGA其机构有各自的特点,但就其基本机构来分析,大致有以下几种分类方法。(1)按逻辑功能块的大小分FPGA的基本逻辑机构单元是可编程逻辑块,

21、按照逻辑功能块的大小不同,可将FPGA分为细粒度机构和粗粒度机构两类。(2)按互联结构分按互联结构分类可将其分为分段互联型和连续互联型两类。(3)按编程特性分按编程特性分类FPGA可分为一次编程型和可重复编程型两类。2FPGA的基本机构及特点FPGA由若干独立的可编程逻辑模块组成。它由三种可编程单元和一个用于存放编程数据的静态存储器组成。这三种可编程的单元分别是输入/输出模块IOB(I/O Block)、可编程逻辑模块CLB(Configurable Logic Block)和互联资源IR(Interconnect Resource)。它们的工作状态全都由编程数据存储器中的数据设定。3FPGA

22、结构的主要优点有:(1)FPGA中除了极少的几个引脚以外,大部分引脚都与可编程的IOB相连,且均可根据要求设置成输入或输出。(2)每个CLB中都包含组合逻辑电路和存储电路(触发器)两部分,可以设置成规模不大的组合逻辑电路或时序逻辑电路。(3)在CLB之间配备了丰富的连线资源。折线互联资源包括不同类型的金属线、可编程的开关矩阵和可编程的连接点,从而使CLB更易设计成各种应用型电路。4FPGA存在的主要缺点有:(1)信号传输延迟时间不是确定的且速度慢。(2)由于FPGA中的编程数据存储器是一个静态随即存储器,断电时数据将随之丢失,因此,每次开始工作时都要重新安装编程数据,并需要配备保存变成数据的E

23、PROM。(3)FPGA的编程数据不便于保密。2.2 EDA设计流程图2.1是基于EDA软件的FPGA/CPLD开发流程框图,以下将分别介绍各设计模块的功能特点。对于目前流行的EDA工具软件,图2.1的设计流程具有一般性。图2.1 应用于FPGA/CPLD的EDA开发流程2.2.1 设计输入将电路系统以一定表达方式输入计算机,是在EDA软件平台上对FPGA/CPLD开发的最初步骤。通常,使用EDA工具的设计输入可分为两种类型。1图形输入图形输入通常包括原理图输入、状态图输入和波形图输入三种常用方式。原理图输入法类似与传统电子设计方法的原理图编辑输入方式,即在EDA软件的图形编辑界面上绘制能完成

24、特定功能的电路原理图。原理图由逻辑器件(符号)和连接线构成,图中的逻辑器件可以是EDA软件库中预制的功能模块,如与门、或门、非门、触发器以及各种74系列器件功能的宏功能块,甚至还有一些类似于IP的功能块。原理图编辑绘制完成后,原理图编辑器将会对输入的图形文件进行排错,之后再将其编译成适用于逻辑综合的文件。状态图输入法就是根据电路的控制条件和不同的转换方式,用绘图的方法,在EDA工具的状态图编辑器上绘出状态图,然后由EDA编辑器和综合器将此状态变化流程图编译综合成电路网表。波形图输入发则是将待设计的电路看成是一个黑盒子,只需告诉EDA工具黑盒子电路的输入和输出时序波形图,EDA工具即能根据此完成

25、黑盒子电路的设计。2HDL文本输入这种方式与传统的计算机然间语言编译输入基本一致。就是将使用了某种硬件描述语言的电路设计文本,如VHDL,进行编辑输入。可以说,应用HDL的文本输入方法克服了上述原理图输入法存在的所有弊端,为EDA技术的应用和发展打开了一个广阔的天地。2.2.2 综合一般来说,中和是仅对HDL而言的。利用HDL综合器对设计进行综合是十分重要的一部,因为综合过程将把软件设计的HDL描述与硬件结构挂钩,是将然间转化为硬件电路的关键步骤,是文字描述与硬件实现的一座桥梁。综合就是将电路的高级语言转换成低级语言。整个综合过程就是将设计者在EDA平台上编辑输入的HDL文本、原理图或状态图形

26、描述,依据给定的硬件结构组件和结束控制条件进行编译、优化、转换和综合,最终获得门级电路甚至更底层的电路描述网表文件。2.2.3 适配适配器也称结构综合器,它的功能是将由综合器生成的网表文件配置于指定的目标器件中,使之长生最终的下载文件,如JAM格式的文件。适配所选定的目标器件必须属于原综合器指定的目标器件系列。适配器将综合后的网表文件针对某一具体的目标器件进行逻辑映射操作,其中包括底层器件配置、逻辑分割、逻辑优化、逻辑布局布线操作。适配完成后可以利用适配所产生的仿真文件作精确的时序仿真,同时长生可用于编程的文件。2.2.4 时序仿真在编程下载前必须利用EDA根据对适配生成的结果进行模拟测试,就

27、是所谓的仿真。仿真就是让计算机根据一定的算法和一定的仿真库对EDA设计进行模拟,以验证设计,排除错误。仿真是在EDA设计过程中的重要步骤。时序仿真就是接近真实器件运行特性的仿真,仿真文件中已包含器件硬件特性参数,因而,仿真精度高。但时序仿真的仿真文件必须来自针对具体器件的综合器与适配器。2.2.5 编程下载把适配后生成的文件或配置文件,通过编程器或编程电缆向FPGA或CPLD下载,以便进行硬件调试和验证。FPGA与CPLD的辨别和分类主要是根据其结构特点和工作原理。通常的分类方法是:(1)将以乘积项结构方式构成逻辑行为的器件称为CPLD,如Lattice的ispLSI系列、Xilinx的XC9

28、500系列、Altera的MAX7000S系列和Lattice(原Vantis)的Mach系列等。(2)将以查表法结构方式构成逻辑行为的器件称为FPGA,如Xilinx的SPARTAN系列、Altera的FLEX10K或ACEX1K系列等。2.2.6 硬件测试最后是将含有载入了设计的FPGA或CPLD的硬件系统进行统一测试,以便最终验证设计项目在目标系统上的设计工作情况,以排除错误,改进设计。2.3 VHDL硬件描述语言介绍VHDL的英文全名是Very-High-Speed Integrated Circuit Hardware Description Language,诞生于1982年。19

29、87年底,VHDL被IEEE(The Institute of Electricaland Electronics Engineers)和美国国防部确认为标准硬件描述语言。自IEEE公布了VHDL的标准版本(IEEE-1076)之后,各EDA公司相继推出了自己的VHDL设计环境。此后,VHDL在电子设计领域受到了广泛的接受,并逐步取代了原有的非标准HDL。1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容,公布了新版本的VHDL,即IEEE标准的1076-1993版本。现在,VHDL和Verilog作为IEEE的工业标准硬件描述语言,又得到众多EDA公

30、司的支持,在电子工程领域,它已成为事实上的通用硬件描述语言。有专家认为,在新的世纪中,VHDL与Verilog语言将承担起几乎全部的数字系统设计任务。VHDL主要用于描述数字系统的结构、行为、功能和接口。与其它的HDL相比,VHDL具有更强的行为描述能力,从而决定了它成为系统设计领域最佳的硬件描述语言。强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。就目前流行的EDA工具和VHDL综合器而言,将基于抽象的行为描述风格的VHDL程序综合成为具体的FPGA和CPLD等目标器件的网表文件已不成问题。第三章 温度巡检仪总体方案设计3.1 基于单片机的温度巡检仪方

31、案一:根据目前智能仪表的一般特点,系统的原理结构框图如图3.1所示。图3.1 温度巡检仪原理结构图从图3.1可知系统主要包括构成智能测量系统核心的微处理器、检测温度的敏感元件热电阻式温度传感器Pt100、信号的采集电路恒流源电路、信号的切换及偏置放大电路、A/D转换电路、显示输出电路、通信电路、存储电路以及电源电路。信号的切换是为本系统多路要求而设置的,程控偏置的原因是考虑温度测量的范围较宽,如果不加该级电路会造成整个测量系统分辨力不高而降低测量精度。方案二:巡检系统如图3.2所示,主要由微控制芯片AT89C2051和数字温度传感器DS18B20构成。图3.2 多点温度巡检系统测温部分的电路比

32、较简单,温度信号由数字温度传感器DS18B20采集,在其内部直接完成A/D转换,通过单总线输出数字信号送入AT89C2051进行处理。通过对外界温度进行测量,主要完成数据的采集、处理、显示、报警等功能。DS18B20的供电方式为外部电源。当DS18B20处在存储器操作和温度A/D变换操作时,总线上必须有强的上拉。为保证在有效的DS18B20时钟周期内提供足够的电流,在电源线与信号线之间加上一个4.7k的上拉电阻。多点温度巡检系统原理图如图3.12所示。在系统安装及工作之前必须将主机逐个与DS18B20挂接,从激光ROM中读出其序列号,然后分别赋予在系统中的编号1N。其工作过程为:主机发出一个脉

33、冲,待“0”电平大于480us后,复位DS18B20,在DS18B20所发响应脉冲由主机接收后,主机再发读ROM命令代码33H,然后发一个脉冲(15us),并接着读取DS18B20序列号的一位。用同样的方法读取序列号的56位。系统软件设计采用模块化设计,程序采用汇编语言编程,系统功能由复位子程序、读/写子程序、温度转换子程序、显示子程序、报警子程序等来完成。3.2 基于虚拟仪器的温度巡检仪如图3.2所示,此系统是基于AT89S51为主机,它相当于一般用于数据采集卡系统中的数据采集卡,两个数字式单总线传感器DS18B20从器件,替换了传统的温度传感器,构建一个四路温度的巡回测量功能,温度值通过四

34、个四位数码管显示,并通过RS-232接口将温度数据送上位机处理,利用上位机软件LabVIEW完成了数据采集、显示、分析及处理,从而构建了一个四路温度测量系统,该系统采用单片机替代了价格昂贵的数据采集板卡实现了对温度的采集与测量。图3.2 多路温度巡回检测系统框图3.3 总体方案选择与设计本设计选择了基于FPGA的两种方案。方案一:如图3.3所示,此总体方案基于LPM_ROM设计而成。图3.4 总体方框图从图3.3可知,系统主要包括FPGA芯片、检测温度的热电偶温度传感器、多路选择芯片CD4052、信号放大电路、A/D转换电路、显示输出电路及键盘电路。此设计是对四路温度巡检,将采集信号送入多路选

35、择器件CD4052(可进行信号切换),经冷端补偿后的信号与测量端信号经过放大电路将模拟信号放大,经A/D转换后送入芯片,最终显示。冷端温度补偿采用电桥补偿电路。方案二:如图3.4所示,此总体方案主要基于MAX6675芯片设计而成。图3.6 总体方框图由图3.4可知系统主要包括FPGA芯片、检测温度的热电偶温度传感器、集成片MAX6675及显示输出。此设计是对四路温度进行巡回检测,经四路选择器CD4052将采集信号送入集成芯片MAX6675进行信号放大、冷端补偿、线性化等处理,最终显示。第四章 总体设计方案介绍4.1 基于LPM_ROM的热电偶温度巡检仪的设计4.1.1 系统硬件设计1热电偶热电

36、偶作为一种主要的测温元件,具有结构简单、制造容易、使用方便、测温范围宽、测温精度高等特点。常用热电偶可分为标准热电偶和非标准热电偶两大类。所谓标准热电偶是指国家标准规定了其热电势与温度的关系、允许误差、并有统一的标准分度表的热电偶,它有与其配套的显示仪表可供选用。非标准化热电偶在使用范围或数量级上均不及标准化热电偶,一般也没有统一的分度表,主要用于某些特殊场合的测量。我国从1988年1月1日起,热电偶和热电阻全部按IEC国际标准生产,并指定S、B、E、K、R、J、T七种标准化热电偶为我国统一设计型热电偶。为了保证热电偶可靠、稳定地工作,对它的结构要求如下:(1)组成热电偶的两个热电极的焊接必须

37、牢固;(2)两个热电极彼此之间应很好地绝缘,以防短路;(3)补偿导线与热电偶自由端的连接要方便可靠;(4)保护套管应能保证热电极与有害介质充分隔离;将热电偶应用在基于FPGA系统领域时,却存在着以下几方面的问题:(1)非线性:热电偶输出热电势与温度之间的关系为非线性关系,因此在应用时必须进行线性化处理。(2)冷端补偿:热电偶输出的热电势为冷端保持为0时与测量端的电势差值,而在实际应用中冷端的温度是随着环境温度而变化的,故需进行冷端补偿。(3)数字化输出:与FPGA系统接口必然要采用数字化输出及数字化接口,而作为模拟小信号测温元件的热电偶显然无法直接满足这个要求。此次设计用到K型热电偶,即镍铬镍

38、硅热电偶。在热电偶回路中接入第三种金属材料时,只要该材料两个节点的温度相同,热电偶所产生的热电势将保持不便,即不受第三种金属接入回路中的影响。因此,在热电偶测温时,可接入测量仪表,测得热电势后,即可知道被测介质的温度。镍铬镍硅热电偶(K型)是一种使用十分广泛的贱金属热点偶,热电丝直径一般为1.22.5mm。由于热电极材料具有较好的高温抗氧化性,可在氧化性或中性介质中长时间地测量900以下的温度。K型热电偶具有复现性好,产生的热电势大,而且线性好,价格便宜等优点;虽然测量精度偏低,但完全能满足一般工业测量要求。这种热点偶的主要缺点是如果用于还原性介质中,热电极会很快受到腐蚀,在此情况下,只能用于

39、测量500以下的温度。2多路选择开关(CD4052)双四路模拟开关CD4052的引脚功能如图4.1所示。CD4052相当于一个双刀四掷开关,具体接通哪一条通道,由输入地址码AB来决定。其真值表见表4.1。 图4.1 CD4052的引脚功能表4.1 CD4052真值表(1)当INH=0时,A,B的状态分别为“0,0”,“1,0”,“0,1”,“1,1”时,接通通道分别为X0,Y0;X1,Y1;X2,Y2;X3,Y3。(2)当INH=1时,无论A,B为任何状态,接通通道均不接通。3显示电路此方案采用共阳极接法的七段LED数码管显示。如图4.2所示:图4.2 显示电路4. 测量放大电路此方案采用高共

40、模抑制比差动放大电路对热电偶输出毫伏信号进行放大。对电子测量电路的放大器,其输入信号的最大幅度一般可能仅有几毫伏,而共模噪声电平可能高达几伏,所以放大器的输入漂移、噪声抑制和共模抑制比对放大器的动态性能的影响是至关重要的。同时被测信号源的内阻无法进行控制,而信号内阻的变化可能使放大器两个输入端分别到地的电阻失配,这种失配除了造成增益变化外,还有可能导致共模抑制比下降。图4.3 高共模抑制比差动放大电路为了提高输入阻抗和降低失调电压漂移,其最常用电路如图4.3所示。图中A1和A2是差模输入和差模输出的交叉耦合前置放大器。若把A1和A2视为各自具有反馈电阻的同相比例运算电路,电路中R3为A1和A2

41、的公共电阻且不接地。由于A1、A2工作于线性状态,其同相、反相输入端具有“虚短”特性,因此共模信号在R3两端的电位相等,即R3上没有共模电流,所以A1和A2对共模信号的电压放大倍数仅为1,差模信号在R3两端产生压降,其电压放大倍数为1+2R1/R3(R1=R4)。有上述分析可知:该电路对信噪比具有改善作用,因电路对差模信号具有较大的放大作用,其增益远大于共模分量;决定差模信号放大的电阻R1、R3和R4对共模抑制比没有影响,但R1和R4的失配会造成差模增益失配,因此,R1、R3和R4的精度应为1%,以便得到最佳稳定性;该电路对共模输入信号,即温漂或噪声,没有放大作用,因此其输入漂移和噪声较小。5

42、A/D转换芯片ADC0809(1)主要特性:1)8路8位AD转换器,即分辨率8位。 2)具有转换起停控制端。3)转换时间为100s4)单个5V电源供电 5)模拟输入电压范围05V,不需零点和满刻度校准。 6)工作温度范围为-4085摄氏度 7)低功耗,约15mW。(2)ADC0809的外部结构,如图4.4所示:图4.4 ADC0809的外部结构ADC0809芯片有28条引脚,采用双列直插式封装。下面说明各引脚功能:IN0IN7:8路模拟量输入端。D0D7:8位数字量输出端。ADDA、ADDB、ADDC:3位地址输入线,用于选通8路模拟输入中的一路。ALE: 地址锁存允许信号,输入,高电平有效。

43、START:A/D转换启动信号,输入,高电平有效。EOC: A/D转换结束信号,输出,当A/D转换结束时,此端输出一个高电平(转换期间一直为低电平)。OE:数据输出允许信号,输入,高电平有效。当A/D转换结束时,此端输入一个高电平,才能打开输出三态门,输出数字量。CLK:时钟脉冲输入端。要求时钟频率不高于640KHZ。REF(+)、REF(-):基准电压。Vcc:电源,单一5V。GND:接地端。(3)ADC0809的内部逻辑结构。如图4.5所示:图4.5 ADC0809内部逻辑结构由上图可知,ADC0809由一个8路模拟开关、一个地址锁存与译码器、一个A/D转换器和一个三态输出锁存器组成。多路

44、开关可选通8个模拟通道,允许8路模拟量分时输入,共用A/D转换器进行转换。三态输出锁存器用于锁存A/D转换完的数字量,当OE端为高电平时,才可以从三态输出锁存器取走转换完的数据。(4)工作过程ADC0809的工作过程是:首先输入3位地址,并使ALE=1,将地址存入地址锁存器中。此地址经译码选通8路模拟输入之一到比较器。START上升沿将逐次逼近寄存器复位。下降沿启动 A/D转换,之后EOC输出信号变低,指示转换正在进行。直到A/D转换完成,EOC变为高电平,指示A/D转换结束,结果数据已存入锁存器,这个信号可用作中断申请。当OE输入高电平时,输出三态门打开,转换结果的数字量输出到数据总线上。6

45、冷端温度补偿冷端温度补偿采用电桥补偿电路。电桥补偿是用电桥的不平衡电压(补偿电势)去消除冷端温度变化的影响。如图4.6所示,冷端补偿电路有不平衡电桥组成,其输出端串联在热电偶回来中。桥臂电阻R1,R2,R3和限流电阻Rs的阻止几乎不随温度变化,Rou为铜电阻,其电阻值随温度升高而增大。电桥由支流稳压电源供电。在某一温度下,设计电桥处于平衡状态,则电桥输出为0,该温度称为电桥平衡点温度或补偿温度。此时补偿电桥对热电偶回路的热电势没有影响。当环境温度变化时,冷端温度随之变化,热电偶等的电势值随之变化E1;与此同时,Rou的电阻值也随环境温度变化,使电桥失去平衡,有不平衡电压E2输出。如果设计的E1

46、和E2数值相等极性相反,则迭加后互相抵消,因此起到冷端温度变化自动补偿的作用。这就相当于将冷端恒定在电桥平衡点温度。图4.6 电桥补偿电路7芯片介绍(FLEX10K)(1)FLEX10K系列FPGA器件简介FLEX10K系列是第一款多达25万门的嵌入式PLD,该系列包括FLEX10KA、FLEX10KB、FLEX10KV和FLEX10KE,它的集成度已经达到了25万门。FLEX10K具有高密度和易于在设计中实现复杂宏函数与存储器的特点,因此可以适应系统级设计的要求。每个FLEX10K器件都包含一个嵌入式阵列,它为设计者提供了有效的嵌入式门阵列和灵活的可编程逻辑。嵌入式阵列是由一系列嵌入式阵列块(EAB)组成的,它能够用来实现各种存储器和复杂的逻辑功能。另外,FLEX10K器件也提供多电压I/O接口,它允许器件桥接在不同电压工作的系统中。FLEX10K还具有多个低失真时钟,以及时钟锁定和时钟自举锁相环电路,内部三态总线等特性。所有这些特点使得FLEX10K器件成为替代传统专用门阵列的理想选择。(2)性能特点1)工业中第一种嵌入式PLD系列,具有在单个器件中系统集成的能力:具有实现宏函数的嵌入式阵列;具有实现普通功能的逻辑阵列。2)高密度:10000250000个可用门;高达40

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 教案示例

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com