(精品)AD与DA转换.ppt

上传人:hwp****526 文档编号:85543898 上传时间:2023-04-11 格式:PPT 页数:64 大小:612.51KB
返回 下载 相关 举报
(精品)AD与DA转换.ppt_第1页
第1页 / 共64页
(精品)AD与DA转换.ppt_第2页
第2页 / 共64页
点击查看更多>>
资源描述

《(精品)AD与DA转换.ppt》由会员分享,可在线阅读,更多相关《(精品)AD与DA转换.ppt(64页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、A/D与与D/A转换转换基本概念基本概念采样:按相等的时间间隔,从电压信号上截取一个个离散的电压瞬时值。采样:按相等的时间间隔,从电压信号上截取一个个离散的电压瞬时值。量化:将采样所得的值分层处理,每个分层所包含的最大值与最小值之量化:将采样所得的值分层处理,每个分层所包含的最大值与最小值之差,为一个量化单位。为了与计算机表示数的方法一致,分层数必须差,为一个量化单位。为了与计算机表示数的方法一致,分层数必须是是2n。所以实际的。所以实际的A/D与与D/A转换器为转换器为8、10、12、16位。位。编码:将采样所得的模拟量与由参考电压所设定的满量程对应的数字量编码:将采样所得的模拟量与由参考电

2、压所设定的满量程对应的数字量2n比较,得到一组数字,这个值为该电压对应的编码。比较,得到一组数字,这个值为该电压对应的编码。数字量最大值并不等于满量程电压,它等于数字量最大值并不等于满量程电压,它等于FSR(1-2-n),比满量),比满量程小程小1LSB。分辨率:数据发生分辨率:数据发生1LSB的变化时所对应的模拟电压的值。的变化时所对应的模拟电压的值。FSR/2n精度:数据所对应的理想电压值与实际的电压值之差,与满量程之百分精度:数据所对应的理想电压值与实际的电压值之差,与满量程之百分比,为最大误差。一般不大于比,为最大误差。一般不大于1/2LSB。.某一测控系统要求计算机输出的模拟控制信号

3、的分辩率必须达到1,则应选用的DAC的位数至少是。A.4B.8C.10D.128位模/数转换器ADC的输入电压满刻度值为VFS,则该ADC的分辨率为VFS的()A18B.1128C.1256D.1512某水位检测系统的水位在01m之间变化,利用ADC0809实现水位传感器的数据采集,水位检测精度能否达到1mm?简述原因。模模/数数(A/D)与数与数/模模(D/A)转换技术及其接口转换技术及其接口 一、一、D/A转换接口转换接口 D/A转转换换器器的的作作用用是是将将二二进进制制的的数数字字量量转转换换为为相相应应的的模模拟拟量量。D/A转转换换器器的的主主要要部部件件是是电电阻阻开开关关网网络

4、络,其其主主要要网网络络形形式式有有权权电阻网络和电阻网络和R2R梯形电阻网络,其工作原理这里不作介绍。梯形电阻网络,其工作原理这里不作介绍。集成集成D/A芯片类型很多,按生产工艺分有双极型、芯片类型很多,按生产工艺分有双极型、MOS型型等;按字长分有等;按字长分有8位、位、10位、位、12位等;按输出形式分有电压型和位等;按输出形式分有电压型和电流型。另外,不同生产厂家的产品,其型号各不相同。例如,电流型。另外,不同生产厂家的产品,其型号各不相同。例如,美国国家半导体公司的美国国家半导体公司的D/A芯片为芯片为DAC系列,如系列,如DAC0832等;等;美国模拟器件公司的美国模拟器件公司的D

5、/A芯片为芯片为AD系列,如系列,如AD558等。使用时等。使用时可参阅各公司提供的使用手册。可参阅各公司提供的使用手册。1.DAC0832 DAC0832是是美美国国国国家家半半导导体体公公司司采采用用CMOS工工艺艺生生产产的的8位位D/A转转换换集集成成电电路路芯芯片片。它它具具有有与与微微机机连连接接简简单单、转转换换控控制制方方便便、价格低廉等特点,因而得到了广泛的应用。价格低廉等特点,因而得到了广泛的应用。1)DAC0832的结构与引脚的结构与引脚 DAC0832的逻辑结构框图如图所示。片内有的逻辑结构框图如图所示。片内有R2RT型电阻型电阻网络,用于对参考电压提供的两条回路分别产

6、生两个电流信号网络,用于对参考电压提供的两条回路分别产生两个电流信号IOUT1和和IOUT2。DAC0832采用采用8位输入寄存器和位输入寄存器和8位位DAC寄存器二寄存器二次缓冲方式,这样可以在次缓冲方式,这样可以在D/A输出的同时,送入下一个数据,以输出的同时,送入下一个数据,以便提高转换速度。每个输入数据为便提高转换速度。每个输入数据为8位,可以直接与微机的数据位,可以直接与微机的数据总线相连,其逻辑电平与总线相连,其逻辑电平与TTL电平兼容。电平兼容。DAC0832的结构框图DAC0832的引脚图 DI7 DI0D/A转转换换器器的的数数字字量量输输入入引引脚脚。其其中中DI0为为最最

7、低低位,位,DI7为最高位。为最高位。CS片选信号输入端,低电平有效。片选信号输入端,低电平有效。WR1输入寄存器的写信号,低电平有效。输入寄存器的写信号,低电平有效。ILE输输入入寄寄存存器器选选通通信信号号,高高电电平平有有效效。ILE信信号号和和CS、WR1共共同同控控制制选选通通输输入入寄寄存存器器。当当CS、WR1均均为为低低电电平平,而而ILE为为高高电电平平时时,LE1=0,输输入入数数据据被被送送至至8位位输输入入寄寄存存器器的的输输出出端端;当当上上述述三三个个控控制制信信号号任任一一个个无无效效时时,LE1变变高高,输输入入寄寄存存器将数据锁存,输出端呈保持状态。器将数据锁

8、存,输出端呈保持状态。XFER从从输输入入寄寄存存器器向向DAC寄寄存存器器传传送送D/A转转换换数数据据的的控制信号,低电平有效。控制信号,低电平有效。WR2DAC寄寄存存器器的的写写信信号号,低低电电平平有有效效。当当XFER和和WR2同同时时有有效效时时,输输入入寄寄存存器器的的数数据据装装入入DAC寄寄存存器器,并并同同时时启动一次启动一次D/A转换。转换。VCC芯芯片片电电源源,其其值值可可在在+5+15 V之之间间选选取取,典典型型值值取取+15 V。AGND模拟信号地。模拟信号地。DGND数字信号地。数字信号地。RFB内部反馈电阻引脚,用来外接内部反馈电阻引脚,用来外接D/A转换

9、器输出增益转换器输出增益调整电位器。调整电位器。VREFD/A转转换换器器的的基基准准电电压压,其其范范围围可可在在10+10 V内内选选定定。该该端端连连至至片片内内的的R2RT型型电电阻阻网网络络,由由外外部部提提供供一一个个准准确确的参考电压。该电压精度直接影响着的参考电压。该电压精度直接影响着D/A转换精度。转换精度。IOUT1D/A转转换换器器输输出出电电流流1,当当输输入入全全1时时,输输出出电电流流最最大,约为大,约为 ;当输入为全;当输入为全0时,输出电流最小,即为时,输出电流最小,即为0。IOUT2D/A转换器输出电流转换器输出电流2,它与,它与IOUT1有如下关系:有如下关

10、系:IOUT1+IOUT2=常数常数 D/A转换没有形式上的启动信号。实际上将数据写入第二级转换没有形式上的启动信号。实际上将数据写入第二级寄存器的控制信号就是寄存器的控制信号就是D/A转换器的启动信号。另外,它也没有转换器的启动信号。另外,它也没有转换结束信号,转换结束信号,D/A转换的过程很快,一般还不到一条指令的执转换的过程很快,一般还不到一条指令的执行时间。行时间。2)DAC0832的工作方式的工作方式 DAC0832内内部部有有两两个个寄寄存存器器,能能实实现现三三种种工工作作方方式式:双双缓缓冲、单缓冲和直通方式。冲、单缓冲和直通方式。双缓冲工作方式是指两个寄存器分别受到控制。当双

11、缓冲工作方式是指两个寄存器分别受到控制。当ILE、CS和和WR1信号均有效时,信号均有效时,8位数字量被写入输入寄存器,此时并不位数字量被写入输入寄存器,此时并不进行进行A/D转换。当转换。当WR2和和XFER信号均有效时,原来存放在输入信号均有效时,原来存放在输入寄存器中的数据被写入寄存器中的数据被写入DAC寄存器,并进入寄存器,并进入D/A转换器进行转换器进行D/A转换。在一次转换完成后到下一次转换开始之前,由于寄存器的转换。在一次转换完成后到下一次转换开始之前,由于寄存器的锁存作用,锁存作用,8位位D/A转换器的输入数据保持恒定,因此转换器的输入数据保持恒定,因此D/A转换的转换的输出也

12、保持恒定。输出也保持恒定。单单缓缓冲冲工工作作方方式式是是指指只只有有一一个个寄寄存存器器受受到到控控制制。这这时时将将另另一一个个寄寄存存器器的的有有关关控控制制信信号号预预先先设设置置成成有有效效,使使之之开开通通,或或者者将将两两个个寄寄存存器器的的控控制制信信号号连连在在一一起起,两两个个寄寄存存器器作作为为一一个个来来使使用。用。直通工作方式是指两个寄存器的有关控制信号都预先置为直通工作方式是指两个寄存器的有关控制信号都预先置为有效,两个寄存器都开通。只要数字量送到数据输入端,就立有效,两个寄存器都开通。只要数字量送到数据输入端,就立即进入即进入D/A转换器进行转换。这种方式应用较少

13、。转换器进行转换。这种方式应用较少。3)电压输出电路的连接电压输出电路的连接 DAC0832以以电电流流形形式式输输出出转转换换结结果果,若若要要得得到到电电压压形形式式的的输输出出,需需要要外外加加I/V转转换换电电路路,常常采采用用运运算算放放大大器器实实现现I/V转转换换。下图给出了下图给出了DAC0832的电压输出电路。的电压输出电路。对于单极性输出电路,输出电压为:对于单极性输出电路,输出电压为:式中式中D为输入数字量的十进制数。因为转换结果为输入数字量的十进制数。因为转换结果IOUT1接运算放大接运算放大器的反向端,所以式中有一个负号。若器的反向端,所以式中有一个负号。若VREF=

14、+5 V,当,当D=0 255(00H FFH)时,时,VOUT=(0 4.98)V。DAC0832的电压输出电路(a)单极性输出;(b)双极性输出 通通过过调调整整运运算算放放大大器器的的调调零零电电位位器器,可可以以对对D/A芯芯片片进进行行零零点点补补偿偿。通通过过调调节节外外接接于于反反馈馈回回路路的的电电位位器器RP1,可可以以调调整整满满量量程。程。对于双极性输出电路,输出电压的表达式为:对于双极性输出电路,输出电压的表达式为:若若VREF=+5 V,当,当D=0时,时,VOUT1=0,VOUT=5 V;当;当D=128(80H)时,时,VOUT1=2.5 V,VOUT=0;当;当

15、D=255(FFH)时,时,VOUT1=4.98 V,VOUT=4.96 V。4)DAC0832的主要技术指标的主要技术指标 输输入入:8位位数数字字量量。内内有有锁锁存存器器,数数字字量量输输入入端端可可直直接接与与CPU的数据总线相连。的数据总线相连。输入方式:双缓冲、单缓冲和直通输入三种方式。输入方式:双缓冲、单缓冲和直通输入三种方式。输入逻辑:与输入逻辑:与TTL兼容。兼容。输出:模拟量电流输出:模拟量电流IOUT1和和IOUT2。电流建立时间:电流建立时间:1 s。线线性性误误差差:0.2%FSR(Full Scale Range),即即该该芯芯片片的的线线性性误差为满量程的误差为满

16、量程的0.2%。非线性误差:非线性误差:0.4%FSR。功耗:功耗:20 mW。工作电压:单一工作电压:单一+5+15 V电源。电源。参考电压:参考电压:10+10 V。2DAC1210 DAC1210是是美美国国国国家家半半导导体体公公司司生生产产的的12位位D/A转转换换器器芯芯片片,是智能化仪表中常用的一种高性能的是智能化仪表中常用的一种高性能的D/A转换器。转换器。DAC1210是是24引引脚脚的的双双列列直直插插式式芯芯片片,其其内内部部逻逻辑辑结结构构如如图所示。图所示。由图可以看出,其逻辑结构与由图可以看出,其逻辑结构与DAC0832类似,所不同的是类似,所不同的是DAC1210

17、具有具有12位的数据输入端,且其位的数据输入端,且其12位数据输入寄存器由位数据输入寄存器由一个一个8位的输入寄存器和一个位的输入寄存器和一个4位的输入寄存器组成。两个输入位的输入寄存器组成。两个输入寄存器的输入允许控制都要求寄存器的输入允许控制都要求CS和和WR1为低电平,但为低电平,但8位输入寄位输入寄存器的数据输入还要求存器的数据输入还要求B1/B2端为高电平。端为高电平。DAC1210的结构框图 1)DAC1210的引脚的引脚 DI11 DI0D/A转转换换器器的的数数字字量量输输入入引引脚脚。其其中中DI0为为最最低低位,位,DI11为最高位。为最高位。CS片选信号输入端,低电平有效

18、。片选信号输入端,低电平有效。WR1输输入入寄寄存存器器的的写写信信号号,低低电电平平有有效效。当当此此信信号号有有效效时,与时,与CS和和B1/B2配合起控制作用。配合起控制作用。B1/B2字字节节控控制制。此此端端为为高高电电平平时时,12位位数数字字同同时时送送入入输输入入锁锁存存器器;此此端端为为低低电电平平时时,将将12位位数数字字量量的的低低4位位送送到到4位位输输入入寄存器。寄存器。XFERD/A转换的控制信号,与转换的控制信号,与WR2配合使用。配合使用。WR2DAC寄存器的写信号,低电平有效。当寄存器的写信号,低电平有效。当XFER和和WR2同时有效时,输入寄存器的数据装入同

19、时有效时,输入寄存器的数据装入DAC寄存器,并启动寄存器,并启动一次一次D/A转换。转换。IOUT1D/A转换器输出电流转换器输出电流1。IOUT2D/A转换器输出电流转换器输出电流2。VCC电源,其值可在电源,其值可在+5+15 V之间选取,典型值取之间选取,典型值取+15 V。AGND模拟信号地。模拟信号地。DGND数字信号地。数字信号地。RFB外部放大器的反馈电阻接线端。外部放大器的反馈电阻接线端。VREFD/A转换器的基准电压,其范围可在转换器的基准电压,其范围可在10+10 V内选定。内选定。2)DAC1210的主要技术指标的主要技术指标 输输入入:12位位数数字字量量。内内有有锁锁

20、存存器器,数数字字量量输输入入端端可可直直接接与与CPU的数据总线相连。的数据总线相连。输入方式:双缓冲、单缓冲和直接输入三种方式。输入方式:双缓冲、单缓冲和直接输入三种方式。输入逻辑电平:与输入逻辑电平:与TTL兼容。兼容。输出:模拟量电流输出:模拟量电流IOUT1和和IOUT2。电流建立时间:电流建立时间:1 s。功耗:功耗:20 mW。工作电压:单一工作电压:单一+5+15 V电源。电源。参考电压:参考电压:10+10 V。3D/A转换芯片与微处理器的接口转换芯片与微处理器的接口 计算机是通过输出指令将要转换的数字送到计算机是通过输出指令将要转换的数字送到D/A转换芯片来转换芯片来实现实

21、现D/A转换的,但由于输出指令送出的数据在数据总线上持续转换的,但由于输出指令送出的数据在数据总线上持续的时间很短,因而需要数据锁存器来锁存的时间很短,因而需要数据锁存器来锁存CPU送来的数据,以送来的数据,以便完成便完成D/A转换。目前生产的转换。目前生产的DAC芯片有的片内带有锁存器芯片有的片内带有锁存器(如如本节介绍的本节介绍的DAC0832和和DAC1210),而有的则没有。在实际中若而有的则没有。在实际中若选用了内部不带锁存器的选用了内部不带锁存器的D/A转换芯片,就需要在转换芯片,就需要在CPU和和D/A芯芯片之间增加锁存电路。片之间增加锁存电路。1)8位位D/A转换器与转换器与C

22、PU的接口的接口 这里以这里以8位的位的D/A转换芯片转换芯片DAC0832来说明来说明8位位D/A转换芯片与转换芯片与ISA总线的连接问题。如图所示,由于总线的连接问题。如图所示,由于DAC0832内部有数据锁存内部有数据锁存器,其数据输入引脚可直接与器,其数据输入引脚可直接与CPU的数据总线相连。图中的数据总线相连。图中XFER和和WR2接地,即接地,即DAC0832内部的第内部的第2级寄存器接成直通式,只由级寄存器接成直通式,只由第第1级寄存器控制数据的输入,当级寄存器控制数据的输入,当CS和和WR1同时有效时同时有效时(ILE始终始终为有效的高电平为有效的高电平),DI7 DI0的数据

23、被送入其内部的的数据被送入其内部的D/A转换电路转换电路进行转换。进行转换。DAC0832与ISA总线连线图如果要求图示系统的如果要求图示系统的VOUT端输出方波,可编程如下:端输出方波,可编程如下:MOVDX,200H;端口地址端口地址200H送送DXLOOP1:MOVAL,00HOUTDX,AL;将数据将数据0送送DAC0832进行转换进行转换CALLDELAY;调用延时子程序调用延时子程序MOVAL,0FFHOUTDX,AL;将数据将数据FFH送送DAC0832进行转换进行转换CALLDELAYJMPLOOP1 利用利用DACDAC可实现任意波形(如锯齿波、三角波、正弦波等)的输出,可实

24、现任意波形(如锯齿波、三角波、正弦波等)的输出,输出锯齿波程序段如下:输出锯齿波程序段如下:TRGTRG:MOV DXMOV DX,200H200H MOV AL MOV AL,0H0HTNTN:OUT DXOUT DX,ALAL CALL DELAY CALL DELAY INC AL INC AL JMP TN JMP TN 三角波的程序段如下:三角波的程序段如下:TRGTRG:MOV DXMOV DX,200H200H MOV AL MOV AL,0H0H TN1 TN1:OUT DXOUT DX,ALAL CALL DELAY CALL DELAY INC AL INC AL JNZ

25、TN1 JNZ TN1 MOV AL MOV AL,0FEH0FEH TN2 TN2:OUT DXOUT DX,ALAL CALL DELAY CALL DELAY DEC AL DEC AL JNZ TN1 JNZ TN1 利用图所示的利用图所示的DAC0832DAC0832单缓冲方式连接图,也可输出正弦波,单缓冲方式连接图,也可输出正弦波,由于由于DAC0832DAC0832是是一个单极性输出一个单极性输出DACDAC芯片,因此在正弦波输出中应芯片,因此在正弦波输出中应将将0V0V平移到平移到128128数值上,数值上,其其C C语言控制程序如下:语言控制程序如下:#include#inc

26、ludeMain()unsigned char V0=128,VOUT;int i;Next:i=0;while(I=360)角度最大为角度最大为360度度VOUT=V0+128*sin(3.14159*I/180);计算每计算每10度的正弦值度的正弦值 outportb(0 x200,VOUT);输出输出i=i+10;角度角度+10 while(!kbhit()等待键盘等待键盘 goto next 键盘无键按下,重复输出正弦波键盘无键按下,重复输出正弦波 2)12位位D/A转换器与转换器与CPU的接口的接口 当当D/A转转换换器器位位数数大大于于8位位时时,与与8位位微微处处理理器器接接口口

27、时时被被转转换换的的数数据据就就需需要要分分几几次次(D/A位位数数16时时需需2次次)送送出出。对对于于片片内内带带数数据据锁锁存存器器的的D/A芯芯片片,应应通通过过合合理理地地使使用用控控制制信信号号实实现现数数据据的的锁锁存存;对于没有锁存器的芯片,用户自己需要增加数据锁存电路。对于没有锁存器的芯片,用户自己需要增加数据锁存电路。这里以片内带有数据锁存器的这里以片内带有数据锁存器的12位位D/A转换芯片转换芯片DAC1210与与外部数据总线为外部数据总线为8位的位的IBM PC/XT总线的接口方法,说明主机数总线的接口方法,说明主机数据总线位数小于据总线位数小于DAC芯片位数时的接口技

28、术。芯片位数时的接口技术。下图给出了下图给出了DAC1210与与IBM PC/XT总线的连接图。由于总线的连接图。由于DAC1210片内的片内的“8位输入寄存器位输入寄存器”(存放待转换数据的高存放待转换数据的高8位位)和和“4位输入寄存器位输入寄存器”(存放待转换数据的低存放待转换数据的低4位位)的输入允许控的输入允许控制都需要制都需要CS和和WR1同时为低电平,且同时为低电平,且“8位输入寄存器位输入寄存器”还需要还需要在在B1/B2为高时才能被选通,所以当为高时才能被选通,所以当DAC1210与与8位数据总线相位数据总线相连,送连,送12位的待转换数据时,必须首先使位的待转换数据时,必须

29、首先使B1/B2为高为高(此时此时CS和和WR1也都有效也都有效),以便将数据的高,以便将数据的高8位送到位送到“8位输入寄存器位输入寄存器”锁锁存;然后使存;然后使B1/B2为低,以使数据的低为低,以使数据的低4位送到位送到“4位输入寄存器位输入寄存器”进行锁存。进行锁存。DAC1210与IBMPC/XT总线的连接图 设设图图中中DAC占占用用的的端端口口地地址址为为220H 222H,为为了了使使两两次次数数据据输输入入端端口口的的地地址址先先偶偶(220H)后后奇奇(221H),以以便便与与编编程程习习惯惯一一致致,可可以使地址线以使地址线A0经一反向器接至经一反向器接至B1/B2端。端

30、。若若BX寄寄存存器器中中低低12位位为为待待转转换换的的数数字字量量,以以下下程程序序段段可可完完成成一一次转换输出。次转换输出。MOV DX,220H;端口地址端口地址220H可保证第一次执行可保证第一次执行OUT指令时,指令时,;A0=0,B1/B2=1,从而将高从而将高8位数据写入位数据写入“8位位;输入寄存器;输入寄存器”中锁存中锁存 MOV CL,04HSHL BX,CL;BX中的中的12位数左移位数左移4位位MOV AL,BH;高高8位送位送ALOUT DX,AL;高高8位送位送“8位输入寄存器位输入寄存器”锁存锁存INC DX;端口地址变为端口地址变为221H,可保证下一次执行

31、可保证下一次执行OUT;指令时,指令时,A0=1,B1/B2=0,从而将低从而将低4位数据写位数据写 ;入;入“4位输入寄存器位输入寄存器”中锁存中锁存 MOV AL,BL;低低4位送位送AL OUT DX,AL;低低4位送位送“4位输入寄存器位输入寄存器”锁存锁存INC DX;端口地址变为端口地址变为222H,可保证下一次执行可保证下一次执行OUT;指令时,将两个寄存器的内容同时送指令时,将两个寄存器的内容同时送12位的位的DAC;寄存器,且使寄存器,且使XFER有效,以便启动有效,以便启动D/A转换转换 OUT DX,AL;启动启动D/A转换转换 二、二、A/D转换接口转换接口 A/D转转

32、换换器器是是模模拟拟信信号号源源与与计计算算机机或或其其他他数数字字系系统统之之间间联联系系的的桥桥梁梁,它它的的任任务务是是将将连连续续变变化化的的模模拟拟信信号号转转换换为为数数字字信信号号,以以便便计计算算机机或或数数字字系系统统进进行行处处理理。在在工工业业控控制制和和数数据据采采集集及及许许多多其其他他领域中,领域中,A/D转换器是不可缺少的重要组成部分。转换器是不可缺少的重要组成部分。由由于于应应用用特特点点和和要要求求的的不不同同,需需要要采采用用不不同同工工作作原原理理的的A/D转转换换器器。A/D转转换换器器的的主主要要类类型型有有:逐逐位位比比较较(逐逐位位逼逼近近)型型、

33、积积分分型、计数型、并行比较型、电压型、计数型、并行比较型、电压频率型频率型(即即V/F型型)等。等。在在选选用用A/D转转换换器器时时,主主要要应应根根据据使使用用场场合合的的具具体体要要求求,按按照照转转换换速速度度、精精度度、功功能能以以及及接接口口条条件件等等因因素素决决定定选选择择何何种种型型号号的的A/D转换芯片。转换芯片。1ADC0809 ADC0809是是逐逐位位逼逼近近型型8通通道道、8位位A/D转转换换芯芯片片,CMOS工工艺艺制制造造,双双列列直直插插式式28引引脚脚封封装装。下下图图给给出出了了ADC0809芯芯片片的的内内部部结结构构框框图图及及引引脚脚图图(图图中中

34、给给出出的的数数据据为为对对应应的的引引脚脚号号)。ADC0809片片内内有有8路路模模拟拟开开关关,可可输输入入8个个模模拟拟量量,单单极极性性输输入入,量量程程为为0+5 V。典典型型的的转转换换速速度度为为100 s。片片内内带带有有三三态态输输出出缓缓冲冲器器,可可直直接接与与CPU总总线线接接口口。其其性性能能价价格格比比有有明明显显的的优优势势,是是目目前前广广泛泛采采用用的的芯芯片片之之一一,可可应应用用于于对对精精度度和和采采样样速速度度要要求求不高的数据采集场合或一般的工业控制领域。不高的数据采集场合或一般的工业控制领域。1)内部结构与转换原理内部结构与转换原理 ADC080

35、9内内部部由由三三部部分分组组成成:8路路模模拟拟量量选选通通输输入入部部分分,8位位A/D转换器和三态数据输出锁存器。转换器和三态数据输出锁存器。ADC0809允许连接允许连接8路模拟信号路模拟信号(IN7 IN0),由由8路模拟开关选路模拟开关选通其中一路信号输入并进行通其中一路信号输入并进行A/D转换,模拟开关受通道地址锁存转换,模拟开关受通道地址锁存和译码电路的控制。当地址锁存信号和译码电路的控制。当地址锁存信号ALE有效时,有效时,3位地址位地址ADDC、ADDB和和ADDA(通常与地址总线通常与地址总线A2、A1和和A0引脚相连引脚相连)进入地址进入地址锁存器,经译码后使锁存器,经

36、译码后使8路模拟开关选通某一路模拟信号。输入的地路模拟开关选通某一路模拟信号。输入的地址信息与所选通的模拟通道之间存在一一对应的关系。如当址信息与所选通的模拟通道之间存在一一对应的关系。如当ADDC、ADDB、ADDA=000时,时,IN0选通;选通;ADDC、ADDB、ADDA=001时,时,IN1选通;选通;ADDC、ADDB、ADDA=111时,时,IN7选选通。通。8位位A/D转转换换器器是是逐逐次次逼逼近近式式,由由256R电电阻阻分分压压器器、树树状状模模拟拟开开关关(这这两两部部分分组组成成一一个个D/A转转换换器器)、电电压压比比较较器器、逐逐次次逼逼近近寄寄存存器器SAR、逻

37、逻辑辑控控制制和和定定时时电电路路组组成成。其其工工作作原原理理是是采采用用对对分分搜搜索索方方法法逐逐次次比比较较,找找出出最最逼逼近近于于输输入入模模拟拟量量的的数数字字量量。电电阻阻分分压压器器需需外外接接正正负负基基准准电电源源VREF(+)和和VREF()。CLOCK端端外外接接时时钟钟信信号号。A/D转转换换器器的的启启动动由由START信信号号控控制制。转转换换结结束束时时控控制制电电路路将将数数字量送入三态输出锁存器锁存,并产生转换结束信号字量送入三态输出锁存器锁存,并产生转换结束信号EOC。三态输出锁存器用来保存三态输出锁存器用来保存A/D转换结果,当输出允许信号转换结果,当

38、输出允许信号OE有效时,将打开三态门,使转换结果输出。有效时,将打开三态门,使转换结果输出。2)引脚定义引脚定义 IN0 IN78路模拟量输入端。路模拟量输入端。ADDC、ADDB和和ADDA地地址址输输入入端端,以以选选通通IN7 IN0 8路中的某一路信号。路中的某一路信号。ALE地地址址锁锁存存允允许许信信号号,有有效效时时将将ADDC、ADDB和和ADDA锁存。锁存。CLOCK外外部部时时钟钟输输入入端端。允允许许范范围围为为10 1280 kHz。时时钟钟频频率率越越低低,转转换换速速度度就就越越慢慢。典典型型500 kHz,转转换换时时间间100微秒。微秒。STARTA/D转换启动

39、信号输入端。转换启动信号输入端。有效信号为一正脉有效信号为一正脉冲冲。在脉冲的上升沿,。在脉冲的上升沿,A/D转换器内部寄存器均被清零,在其下转换器内部寄存器均被清零,在其下降沿开始降沿开始A/D转换。转换。EOCA/D转转换换结结束束信信号号。在在START信信号号上上升升沿沿之之后后不不久久,EOC变变为为低低电电平平。当当A/D转转换换结结束束时时,EOC立立即即输输出出一一正正阶阶跃跃信号,可用来作为信号,可用来作为A/D转换结束的查询信号或中断请求信号。转换结束的查询信号或中断请求信号。OE输输出出允允许许信信号号。当当OE输输入入高高电电平平信信号号时时,三三态态输输出出锁存器将锁

40、存器将A/D转换结果输出到数据量输出端转换结果输出到数据量输出端D7 D0。D7 D0数数字字量量输输出出端端。D0为为最最低低有有效效位位(LSB),D7为为最最高有效位高有效位(MSB)。VCC与与GND电源电压输入端及地线。电源电压输入端及地线。VREF(+)与与VREF()正负基准电压输入端。中心值为正负基准电压输入端。中心值为(VREF(+)+VREF()/2(应接近于应接近于VCC/2),其偏差不应该超过其偏差不应该超过 0.1 V。正负基准电压的典型值分别为正负基准电压的典型值分别为+5 V和和0 V。ADC0809的数字量输出值的数字量输出值D(十进制数十进制数)与模拟量输入值

41、与模拟量输入值VIN之间的关系如下:之间的关系如下:通常通常VREF()=0 V,所以所以 当当VREF(+)=5 V,VREF()=0 V,输入的单极性模拟量从输入的单极性模拟量从0 V到到4.98 V变化时,对应的输出数字量在变化时,对应的输出数字量在0到到255(00H FFH)之间变化。之间变化。2AD574 AD574是是AD公公司司生生产产的的12位位逐逐次次逼逼近近A/D转转换换芯芯片片。AD574系系列列包包括括AD574、AD674和和AD1674等等型型号号的的芯芯片片。AD574的的转转换换时时间间为为15 35 s。片片内内有有数数据据输输出出锁锁存存器器,并并有有三三

42、态态输输出出的的控控制制逻逻辑辑。其其运运行行方方式式灵灵活活,可可进进行行以以12位位转转换换,也也可可作作8位位转转换换;转转换换结结果果可可直直接接以以12位位输输出出,也也可可先先输输出出高高8位位,后后输输出出低低4位位。可可直直接接与与8位位和和16位位的的CPU接接口口。输输入入可可设设置置成成单单极极性性,也也可可设设置成双极性。片内有时钟电路,无需加外部时钟。置成双极性。片内有时钟电路,无需加外部时钟。AD574适用于对精度和速度要求较高的数据采集系统和实时适用于对精度和速度要求较高的数据采集系统和实时控制系统。控制系统。AD574引脚 1)AD574的引脚的引脚 AD574

43、采用双列直插式采用双列直插式28引脚封装。各主要引脚的含义如下:引脚封装。各主要引脚的含义如下:DB11 DB0输输出出数数据据线线。DB11为为最最高高有有效效位位,DB0为为最最低低有效位。有效位。CS片选信号,输入,低电平有效。片选信号,输入,低电平有效。CE片使能信号,输入,高电平有效。片使能信号,输入,高电平有效。R/C数据读出数据读出/启动启动A/D转换信号引脚,输入。当该引脚转换信号引脚,输入。当该引脚为高电平时,允许读为高电平时,允许读A/D转换器输出的转换结果;当该引脚输入转换器输出的转换结果;当该引脚输入低电平时,启动低电平时,启动A/D转换。转换。A0和和12/8二二者者

44、配配合合用用于于控控制制转转换换数数据据长长度度是是12位位或或8位位,以以及及数数据据输输出出的的格格式式(是是12位位一一次次输输出出还还是是先先输输出出高高8位位,后后输输出出低低4位位)。A0=0,表表示示启启动动一一次次12位位转转换换;A0=1,表表示示启启动动一一次次8位转换。位转换。12/8=1,表示,表示12位数据并行输出。位数据并行输出。STS转转换换状状态态输输出出端端。该该引引脚脚在在转转换换过过程程中中呈呈现现高高电电平平,转转换换一一结结束束立立即即返返回回到到低低电电平平。用用户户可可通通过过查查询询该该引引脚脚的的状状态态了解转换是否结束。了解转换是否结束。10

45、VIN 模拟信号输入端,允许输入的电压范围为模拟信号输入端,允许输入的电压范围为0+10 V(单极性输入时单极性输入时)或或5+5V(双极性输入时双极性输入时)。20VIN模模拟拟信信号号输输入入端端,允允许许输输入入的的电电压压范范围围为为0+20 V(单极性输入时单极性输入时)或或10+10 V(双极性输入时双极性输入时)。BIP OFFSET偏置电压输入,用于调零。偏置电压输入,用于调零。REFOUT内部基准电压输出端。内部基准电压输出端。REFIN基准电压输入端。该信号与基准电压输入端。该信号与REFOUT配合,用于配合,用于满刻度校准。满刻度校准。2)AD574的操作的操作 AD57

46、4内内部部的的控控制制逻逻辑辑能能根根据据CPU给给出出的的控控制制信信号号而而进进行行转转换换或或读读出出操操作作。只只有有在在CE=1且且CS=0时时才才能能进进行行一一次次有有效效操操作作。当当CE、CS同同时时有有效效,而而R/C为为低低电电平平时时启启动动A/D转转换换,至至于于是是启启动动12位位转转换换还还是是8位位转转换换,则则由由A0来来确确定定,A0=0时时启启动动12位位转转换换,A0=1时时启启动动8位位转转换换;当当CE、CS同同时时有有效效,而而R/C为为高高电电平平时时是是读读出出数数据据,至至于于是是一一次次读读出出12位位还还是是12位位分分两两次次读读出出,

47、则则由由12/8引引脚脚确确定定。若若12/8接接+5 V,则则一一次次并并行行输输出出12位位数数据据;若若12/8接接数数字字地地,则则由由A0控控制制是是读读出出高高8位位还还是是低低4位位。控控制制信信号号的逻辑功能见下表的逻辑功能见下表 AD574控制信号的功能表控制信号的功能表 CEA0功能1000启动12位转换1001启动8位转换101接+5V12位数据并行输出101接地0输出高8位数据101接地1输出低4位数据 3)单极性与双极性的输入方式单极性与双极性的输入方式 输输入入AD574的的模模拟拟量量可可为为单单极极性性和和双双极极性性,单单极极性性的的输输入入电电压压范范围围为

48、为0 10 V或或0 20 V;双双极极性性的的输输入入电电压压范范围围为为5+5 V或或10+10 V。这这些些灵灵活活的的工工作作方方式式都都必必须须按按规规定定采采用用与与之之对对应应的的接接线线方方式式才才能能实实现现。单单极极性性和和双双极极性性输输入入时时的的接接线线方方式式见见图图8.53(a)和和(b)。模模拟拟量量(单单极极性性或或双双极极性性)由由引引脚脚10VIN(输输入入0 10 V或或5+5 V)或或20VIN(输入输入0 20 V或或10+10 V)输入。输入。A/D转换器转换的结果是二进制偏移码。在两种不同极性的转换器转换的结果是二进制偏移码。在两种不同极性的输入

49、方式下,输入方式下,AD574的输入模拟量与输出数字量的对应关系如表的输入模拟量与输出数字量的对应关系如表8.7所示。所示。AD574单极性与双极性输入时的连接方法(a)单极性输入;(b)双极性输入 12位位A/D输入模拟量与输出数字量的对应关系表输入模拟量与输出数字量的对应关系表 输入方输入方式式量程量程/V输入量输入量/V输出数字输出数字量量输入方输入方式式量程量程/V输入量输入量/V输出数字输出数字量量 单极性单极性0 100000H单极性单极性0 200000H57FFH107FFH10FFFH20FFFH双极性双极性5+55000H双极性双极性10+1010000H07FFH07FF

50、H+5FFFH+10FFFH 3A/D转换芯片与微处理器的接口转换芯片与微处理器的接口 1)8位位A/D转换芯片与转换芯片与CPU的接口的接口 由于由于ADC0809芯片内部集成了三态数据锁存器,其数据输芯片内部集成了三态数据锁存器,其数据输出线可以直接与计算机的数据总线相连,因此,设计出线可以直接与计算机的数据总线相连,因此,设计ADC0809与计算机的接口主要是对模拟通道的选择、转换启动的控制以与计算机的接口主要是对模拟通道的选择、转换启动的控制以及读取转换结果的控制等方面的设计。及读取转换结果的控制等方面的设计。可以用中断方式,也可以用查询方式,还可以用无条件传可以用中断方式,也可以用查

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 生活休闲 > 生活常识

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com