(精品)第二章逻辑代数与硬件描述语言基出(康华光).ppt

上传人:gsy****95 文档编号:85145980 上传时间:2023-04-10 格式:PPT 页数:63 大小:1.49MB
返回 下载 相关 举报
(精品)第二章逻辑代数与硬件描述语言基出(康华光).ppt_第1页
第1页 / 共63页
(精品)第二章逻辑代数与硬件描述语言基出(康华光).ppt_第2页
第2页 / 共63页
点击查看更多>>
资源描述

《(精品)第二章逻辑代数与硬件描述语言基出(康华光).ppt》由会员分享,可在线阅读,更多相关《(精品)第二章逻辑代数与硬件描述语言基出(康华光).ppt(63页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、第二章第二章 逻辑代数与硬件描述语言基础逻辑代数与硬件描述语言基础2.1 2.1 逻辑代数逻辑代数2.2 2.2 逻辑函数的卡诺图化简法逻辑函数的卡诺图化简法2.3 2.3 正负逻辑体制正负逻辑体制2.4 2.4 硬件描述语言硬件描述语言Verilog HDL HDL基础基础信息与电气工程学院2.1 逻辑代数基础逻辑代数基础逻辑代数的基本公式逻辑代数的基本公式为分析和设计数字电路提供许多方便。1.逻辑代数的基本公式(1)变量与常量的关系0-1律律 A0=0 A+1=1自等律自等律A1=AA+0=A互补律互补律A A=0A+A=1(2)与普通代数相似的公式 交换律交换律AB=BAAB=BA结合律

2、结合律(AB)C=A(BC)(A+B)+C=A+(B+C)分配律分配律A(B+C)=ABAC A+BC=(A+B)(A+C)证明:证明:A+BC=(A+B)(A+C)信息与电气工程学院2.1 逻辑代数基础逻辑代数基础逻辑代数的基本公式逻辑代数的基本公式证明:证明:右式右式=AA+AC+BA+BC=A+AC+AB+BC=A(1+AC+AB)+BC=A+BC=左式左式信息与电气工程学院2.1 逻辑代数基础逻辑代数基础逻辑代数的基本公式逻辑代数的基本公式(1)逻辑代数的特殊规律重叠律重叠律A A=A A+A=A吸收律吸收律A(A+B)=A A+AB=A 反演律反演律(摩根定律摩根定律)还原律还原律(

3、双重否定律双重否定律)用真值表证明:证明反演律:与非=非或或非=非与信息与电气工程学院2.1 逻辑代数基础逻辑代数基础逻辑代数的基本公式逻辑代数的基本公式冗余(多余项)定理冗余(多余项)定理 证明:证明:AB+AC+BC=AB+AC+BC(A+A)=AB+AC+ABC+ABC=(AB+ABC)+(AC+ABC)=AB(1+C)+AC(1+B)=AB+AC 两个与项分别包含了一个变量的原变量和反变量,而两个与项分别包含了一个变量的原变量和反变量,而这两个与项的其余因子构成了第三个与项或为第三个与项这两个与项的其余因子构成了第三个与项或为第三个与项的部分因子,则第三个与项是多余的,可以消去,称为冗

4、的部分因子,则第三个与项是多余的,可以消去,称为冗余定理。余定理。如:AB+AC+BC=AB+AC 信息与电气工程学院2.1 逻辑代数基础逻辑代数基础基本规则基本规则 逻辑代数的三条基本规则逻辑代数的三条基本规则:代入规则、反演规则和对偶规则代入规则、反演规则和对偶规则(1)代入规则逻辑代数等式中逻辑代数等式中的某一变量均可用另的某一变量均可用另一个逻辑函数代之,一个逻辑函数代之,则等式仍成立则等式仍成立。证:原式左边证:原式左边=AB+(C+D)=AB+A(C+D)=AB+AC+AD原式右边原式右边=AB+A(C+D)=AB+AC+AD所以:左边所以:左边=右边右边优点:基本等式中的某一变量

5、用一逻辑函数代替,扩大了优点:基本等式中的某一变量用一逻辑函数代替,扩大了等式的应用范围。等式的应用范围。例如:已知等式例如:已知等式A(B+E)=AB+AE,若用(,若用(C+D)代替)代替E,则,则等式仍然成立。等式仍然成立。信息与电气工程学院2.1 逻辑代数基础逻辑代数基础基本规则基本规则 由原函数由原函数F 求反求反函数函数 F F (取非)的(取非)的过程叫反演过程叫反演 利用摩根定律求反函数利用摩根定律求反函数 F F 例如:已知例如:已知求反求反 F F 解:反演规则反演规则 +01x三变三变三变后所得的新的函数式即为三变后所得的新的函数式即为 F F信息与电气工程学院2.1 逻

6、辑代数基础逻辑代数基础基本规则基本规则 两两不不变变(1)公共非号不变 (2)原函数运算先后顺序不变(括号乘加)例:例:例:解:例:解:信息与电气工程学院2.1 逻辑代数基础逻辑代数基础基本规则基本规则 求函数的对偶式求函数的对偶式F对函数中的对函数中的变量:变量:+10对偶式对偶式F两不变:两不变:(1)公共非号不变公共非号不变(2)原函数运算先后顺序不变原函数运算先后顺序不变注:求注:求F 时不时不需要将原变量和反变量互换需要将原变量和反变量互换(3)对偶规则信息与电气工程学院2.1 逻辑代数基础逻辑代数基础基本规则基本规则 对偶式的意义对偶式的意义:如果两个逻辑函数式相等,则对如果两个逻

7、辑函数式相等,则对偶式也相等,只需记忆一半。偶式也相等,只需记忆一半。A(B+C)=AB+AC 对偶式为对偶式为A+BC=(A+B)(A+C)分配律分配律A(A+B)=AA+AB=A吸收律吸收律对偶式为对偶式为例如:逻辑函数式例如:逻辑函数式A(B+C)=AB+AC和和A(A+B)=A吸收律:在一个与或表达式中,如果一个与项是另一个与吸收律:在一个与或表达式中,如果一个与项是另一个与项的部分因子,则另一个与项是多余的,可以消去。项的部分因子,则另一个与项是多余的,可以消去。信息与电气工程学院2.1 逻辑代数基础逻辑代数基础常用公式常用公式逻辑代数的常用公式逻辑代数的常用公式两式互为对偶,只证明

8、一个式子即可。两式互为对偶,只证明一个式子即可。证明:左式证明:左式 =AB+A B=A(B+B)=A=右式右式(1)AB+A B=A,(A+B)(A+B)=A(2)A+AB=A;A(A+B)=A (吸收律吸收律)证明:A+AB=A(1+B)=A(3)A+AB=A+B;A(A+B)=AB证明:证明:A+AB=(A+AB)+AB=A+(A+A)B=A+B 信息与电气工程学院2.1 逻辑代数基础逻辑代数基础常用公式常用公式(4)AB+AC+BC=AB+AC推论:推论:AB+AC+BCDE=AB+AC证明过程见前面的冗余项定理证明:证明:同理可证明:(5)即:双重否定定律双重否定定律摩根定律摩根定律

9、摩根定律摩根定律互补定律互补定律信息与电气工程学院2.1 逻辑代数基础逻辑代数基础常用公式常用公式根据根据异或运算异或运算的定义,可证明下列异或运算的公式是正确的:的定义,可证明下列异或运算的公式是正确的:交换律:交换律:A B=B A 结合律:结合律:(A B)C=A (B C)分配律:分配律:A(B C)=AB AC 常量与变量之间的异或运算:常量与变量之间的异或运算:A A=0;A A=1;A 0=A;A 1=A(6)多变量异或关系多变量异或关系:多多变量异或运算中,变量为变量异或运算中,变量为1的的个数为奇数,运算结果为个数为奇数,运算结果为1;多多变量异或运算中变量异或运算中,变量为

10、,变量为1的的个数为偶数,运算结果为个数为偶数,运算结果为0,与变量,与变量为为0的个数无关。的个数无关。(7)同或运算同或运算:运算结果与异或运算的结果相反信息与电气工程学院2.1 逻辑代数基础逻辑代数基础逻辑函数的化简逻辑函数的化简五、逻辑函数的化简五、逻辑函数的化简(1)元件少成本低可靠性好;(2)各门输入端少连线少,速度高。6个个3输入与门加一个或门化简成一个二输入的或门输入与门加一个或门化简成一个二输入的或门化化简简信息与电气工程学院2.1 逻辑代数基础逻辑代数基础最简形式最简形式1.逻辑函数的最简形式逻辑函数的最简形式同一逻辑函数可以写成各种不同形式的逻辑表达式。与或表达式与非与非

11、表达式或与非表达式 与或非表达式与非与表达式或与表达式或非或非表达式 信息与电气工程学院2.1逻辑代数基础逻辑代数基础 最简形式最简形式例如:同一逻辑表达式的两种不同表达式。例如:同一逻辑表达式的两种不同表达式。F1=AB+B+A BF2=A+B显然,显然,F2比比F1要简单,实现要简单,实现F2所需要的电子器件要少。所需要的电子器件要少。在各种逻辑表达式中,最常用的是与或表达式,本在各种逻辑表达式中,最常用的是与或表达式,本节着重讨论最简与或表达式。节着重讨论最简与或表达式。最简与或式应具有(1)含的与项最少;含的与项最少;(2)与项中含的变量个数最少。与项中含的变量个数最少。信息与电气工程

12、学院例1:化简F1。2.1 逻辑代数基础逻辑代数基础 化化简方法简方法吸收法吸收法 2 逻辑函数的代数化简法逻辑函数的代数化简法利用吸收公式利用吸收公式A+AB=A和和AB+AC+BC=AB+AC,消去多余的乘积项。消去多余的乘积项。(1)吸收法信息与电气工程学院例2:化简F1。2.1 逻辑代数基础逻辑代数基础 化化简方法简方法消去法消去法利用:利用:A+AB=A+B,消去,消去乘积项中多余的因子乘积项中多余的因子(2)消去法例3:化简F2。信息与电气工程学院例4:化简F1。2.1 逻辑代数基础逻辑代数基础 化化简方法简方法并项法并项法利用公式利用公式AB+A B=A将两将两项合并为一项项合并

13、为一项(3)并项法例5:化简F2。互补定律互补定律利用利用信息与电气工程学院例6:化简F1。2.1 逻辑代数基础逻辑代数基础 化化简方法简方法配项法配项法利用公式利用公式A+A=A、A+A=1、A A=0、AA=0、AB+AC+BC=AB+AC 增设增设BC项化简。项化简。(4)配项法信息与电气工程学院例1-7:化简F1。增加冗余项BCD2.1 逻辑代数基础逻辑代数基础 化化简方法简方法综合运用综合运用运用基本规则和常用公式进行化简运用基本规则和常用公式进行化简化简法综合运用再加一个BCD利用A+AB=A利用A+AB=A+B信息与电气工程学院例1-8:化简逻辑函数化简逻辑函数F 1=A B+B

14、 C+BC+AB 方法方法1:方法方法2:2.1 逻辑代数基础逻辑代数基础 化化简方法简方法综合运用综合运用运用基本规则和常用公式进行化简运用基本规则和常用公式进行化简化简法综合运用信息与电气工程学院2.1 逻辑代数基础逻辑代数基础 化化简方法简方法小结小结代数化简的特点1.逻辑函数化简的结果有时不唯一;2.不受变量数目的限制;(优点)3.无一定规律可循,需要熟练运用公式,有时难以判断化简结果是否最简;(缺点)代数化简小结图形化简法卡诺图化简法利用卡诺图可以简便、直观地化简函数,容易判断是否得到最简与或表达式,与代数法相比,无需记住大量公式,也不存在化简路径,所以广泛应用于数字逻辑电路的分析和

15、设计中。第3次作业:1-10F4、F5、F8;1-11F3;1-12F3;1-13。信息与电气工程学院2.2 逻辑代数基础逻辑代数基础 化化简方法简方法卡诺图化简法卡诺图化简法卡诺图:将逻辑函数的最小项按一定规则排列起来构成的小方格图。将逻辑函数的最小项按一定规则排列起来构成的小方格图。1.逻辑函数的最小项及最小项表达式逻辑函数的最小项及最小项表达式(1)最小项的定义所有变量以原所有变量以原变量或反变量的组变量或反变量的组合形式出现一次且合形式出现一次且仅出现一次仅出现一次,称这个称这个与项为最小项。与项为最小项。例:例:二变量A、B 的最小项:三变量A、B、C最小项:n 个变量,有 2n个最

16、小项。信息与电气工程学院2.2 逻辑代数基础逻辑代数基础 化化简方法简方法卡诺图化简法卡诺图化简法(2)最小项编码以变量取值组合为编号以变量取值组合为编号。最小项编号,用最小项编号,用mi表示:表示:转换为对应的十进制数的值就转换为对应的十进制数的值就是该最小项的编码表示号。是该最小项的编码表示号。以二变量为例原原变量取值为变量取值为1,反变量取值为,反变量取值为0。如:三变量:如:三变量:四变量:四变量:注:提到最小项时,一定要说明变量注:提到最小项时,一定要说明变量的数目,否则这一术语将失去意义。的数目,否则这一术语将失去意义。如:如:ABC对三个对三个变量是最小项,变量是最小项,对四变量

17、则不是对四变量则不是信息与电气工程学院2.2 逻辑代数基础逻辑代数基础 化化简方法简方法卡诺图化简法卡诺图化简法(3)最小项的性质二变量最小项真值表:全体最小项的逻辑和全体最小项的逻辑和即:即:每一每一最小项仅有一组变量取最小项仅有一组变量取值为一;值为一;取值取值后任意两最小项之积为后任意两最小项之积为0;n 个变量,有个变量,有 2n个最小项;个最小项;信息与电气工程学院2.2逻辑代数基础逻辑代数基础 化化简方法简方法卡诺图化简法卡诺图化简法2.最小项表达式最小项表达式(1)任何一个逻辑函数都可以表示成若干个最小项之和(与或表达式)例:三变量真值表见左,写出其最小例:三变量真值表见左,写出

18、其最小项表达式项表达式=m3+m5+m6+m7F(A、B、C)=m3(3,5,6,7)式中:式中:表示连加,表示连加,3表示表示3个变量。个变量。信息与电气工程学院2.2 逻辑代数基础逻辑代数基础 化化简方法简方法卡诺图化简法卡诺图化简法(2)任何逻辑函数式可以化为最小项之和表达式例:将逻辑函数F展开为最小项解:解:表示成与或式表示成与或式补齐变量(利用 )信息与电气工程学院2.2 逻辑代数基础逻辑代数基础 化化简方法简方法卡诺图的画法卡诺图的画法(1)卡诺图的画法卡诺图的画法3.卡诺图化简逻辑函数卡诺图化简逻辑函数卡诺图的构成n 变量卡诺图画变量卡诺图画 2n个小个小方格,将变量或变量取值标

19、方格,将变量或变量取值标在方格外,但变量排列顺序在方格外,但变量排列顺序一定按任意相邻两行或两列一定按任意相邻两行或两列仅有一个变量不同。仅有一个变量不同。二变量卡诺图二个变量二个变量A,B有有22=4个最个最小项,分别是小项,分别是:m0=A B,m1=AB,m2=A B,m3=AB,对应四个,对应四个小方格,如下图:小方格,如下图:信息与电气工程学院2.2 逻辑代数基础逻辑代数基础 化化简方法简方法卡诺图的画法卡诺图的画法 三变量卡诺图三个变量:三个变量:A、B、C 最小项数:最小项数:23=8 四变量:24=16信息与电气工程学院2.2 逻辑代数基础逻辑代数基础 化化简方法简方法卡诺图的

20、画法卡诺图的画法 五变量 25=32信息与电气工程学院2.2 逻辑代数基础逻辑代数基础 化化简方法简方法卡诺图的画法卡诺图的画法(2)卡诺图的性质卡诺图的性质 n变量变量,2n个小方格个小方格。每个小方格放入一个最小项。每个小方格放入一个最小项。相邻性相邻性:两个最小项除一变量取值互补外,其它变量均:两个最小项除一变量取值互补外,其它变量均相同。相同。(3)用卡诺图化简逻辑函数的步骤和方法用卡诺图化简逻辑函数的步骤和方法方法:将函数中包含的最小项在方法:将函数中包含的最小项在卡诺图中填卡诺图中填1,没有的项填,没有的项填0,最,最后得函数卡诺图。后得函数卡诺图。信息与电气工程学院2.2 逻辑代

21、数基础逻辑代数基础 化化简方法简方法卡诺图的画法卡诺图的画法方法:将函数中包含的最小项在方法:将函数中包含的最小项在卡诺图中填卡诺图中填1,没有的项填,没有的项填0,最,最后得函数卡诺图。后得函数卡诺图。已知函数真值表画卡诺图函数值为函数值为0或或1,直接填入对应方格中,直接填入对应方格中信息与电气工程学院2.2 逻辑代数基础逻辑代数基础 化化简方法简方法圈图原则圈图原则 圈卡诺图圈卡诺图的原则圈卡诺图的原则小方格可重复包围,但每圈一次定要有新方格圈入。小方格可重复包围,但每圈一次定要有新方格圈入。图1信息与电气工程学院2.2 逻辑代数基础逻辑代数基础 化化简方法简方法圈图原则圈图原则每个包围

22、圈必须是最大圈(才能化最简式)。图2中F3不是最大圈。F3应包围m1、m3、m9、m11四个最小项。信息与电气工程学院2.2 逻辑代数基础逻辑代数基础 化化简方法简方法圈图原则圈图原则 包围圈应是必要圈而不是多余圈圈中最小项已被使用过信息与电气工程学院2.2 逻辑代数基础逻辑代数基础 化化简方法简方法圈图原则圈图原则 所有1值方格均画出包围圈以保证函数值不变信息与电气工程学院每个包围圈中最小项合并成一项,由相邻性:每个包围圈中最小项合并成一项,由相邻性:被圈两项合并为一项,消去一个变量。被圈两项合并为一项,消去一个变量。被圈四项合并为一项,消去两个变量。被圈四项合并为一项,消去两个变量。被圈八

23、项合并为一项,消去三个变量。被圈八项合并为一项,消去三个变量。被圈十六项合并为一项,消去四个变量。被圈十六项合并为一项,消去四个变量。2.2 逻辑代数基础逻辑代数基础 化化简方法简方法圈图原则圈图原则 读卡诺图各各包围圈合并项包围圈合并项相加。相加。总之:总之:2i个相邻最小项合并后,个相邻最小项合并后,可消去可消去i个变量个变量。信息与电气工程学院2.2 逻辑代数基础逻辑代数基础 化化简方法简方法圈图实例圈图实例例1-9:化简信息与电气工程学院2.2 逻辑代数基础逻辑代数基础 化化简方法简方法圈图原则圈图原则例例1-10:化简:化简 化成标准的与化成标准的与-或式或式解用 补最小项信息与电气

24、工程学院2.2 逻辑代数基础逻辑代数基础 化化简方法简方法无关项化简无关项化简(1)无关项的逻辑函数的定义无关项的逻辑函数的定义4.具有无关项的逻辑函数的化简具有无关项的逻辑函数的化简无关项逻逻辑辑函函数数中中不不会会出出现现的的变变量量取取值值组组合合所所对对应应的的最最小小项项称称无无关关项项(或或任任意意项项,约束项)。约束项)。例例:某电动机设变量某电动机设变量 正转正转 反转反转 停止停止 A B C则则 ABC取取 值值 只只 能能 是是 100、010、001,而而不不能能取取值值的的有有000、011、101、110、111这些项恒等于这些项恒等于0 具有无关项的逻辑函数的表示

25、表示法F=m()+d()最小项最小项 无关项无关项信息与电气工程学院2.2 逻辑代数基础逻辑代数基础 化化简方法简方法无关项化简无关项化简(2)具有无关项的逻辑函数的化简具有无关项的逻辑函数的化简无无关关项项根根本本不不会会出出现现.所所以以无无关关项项对对应应的的逻逻辑辑值值可可0可可1,根据需要定。利用无关项,力争圈尽量大。,根据需要定。利用无关项,力争圈尽量大。例如对:化简 相应方格填1 相应方格填X信息与电气工程学院2.3 正负逻辑的逻辑符号正负逻辑的逻辑符号的变换的变换 逻辑符号逻辑符号(1)正负逻辑的逻辑符号正负逻辑的逻辑符号由前面分析可知,数字电路的两种状态高电平和低电平状态可分

26、别用二进制的0和1表示。形成了两种逻辑体制,正逻辑和负逻辑。正逻辑逻辑1表示高电平,逻辑0表示低电平负逻辑逻辑0表示高电平,逻辑1表示低电平混合逻辑同时采用两种逻辑体制数字电路中无特殊说明,通常都取正逻辑体制。信息与电气工程学院2.3 正负逻辑的逻辑符号正负逻辑的逻辑符号的变换的变换 逻辑符号逻辑符号同一逻辑电路,在不同的逻辑体制下,逻辑功能是完全不同的。信息与电气工程学院2.3 正负逻辑的逻辑符号正负逻辑的逻辑符号的变换的变换 逻逻辑变换辑变换(2)正负逻辑变换正负逻辑变换正负逻辑互为对偶关系,可用摩根定理进行转换。符号等效变换见P37表120例如:设一个“正”与门:F=AB 则:为负“或”

27、门 既 将 同 一 个 电 路 的 输 入 输 出 均 取 非“1”、“0”将有:正逻辑 负逻辑信息与电气工程学院图1-4-1 一条线的两端同时消去小圆圈2.3 正负逻辑的逻辑符号的变换正负逻辑的逻辑符号的变换逻辑变换逻辑变换 小圆圈为非号,一条线上的两端同时加或减去圈,关系不变,如下图.(3)逻辑符号的等效变换应遵循的几个原则逻辑符号的等效变换应遵循的几个原则 任一条线一端的圈移到另一端上,其逻辑关系不变,如1-4-2&1ABF&1ABF图1-4-2 一条线上的小圆圈从一端移到另一端&1ABF&1ABF信息与电气工程学院图1-4-3 一条线的两端同时消去小圆圈2.3 正负逻辑的逻辑符号的变换

28、正负逻辑的逻辑符号的变换逻辑变换逻辑变换 在输入输出线上一端加上或者消去小圆圈,同时将加圈的门若是与门改成或门,或门则改成与门,逻辑关系不变,如图1-4-3所示.图1-4-4 或门输入、输出同时取反&1ABF&ABF 在输入输出线上一端加上或者消去小圆圈,同时将相应变量取反(即原变量变反变量,反变量变原变量),逻辑关系不变,如图1-4-3所示.&ABF&ABF&ABF&ABF信息与电气工程学院2.3 逻辑代数基础逻辑代数基础基本规则基本规则 作业:1-15 F2、F3、1-19 F3、F7.、F8信息与电气工程学院2.4 硬件描述语言硬件描述语言Verilog HDL基础基础 2.4.1 Ve

29、rilog语言的基本语法规则语言的基本语法规则 2.4.2 变量的数据类型变量的数据类型 2.4.3 Verilog程序的基本结构程序的基本结构 2.4.4 逻辑功能的仿真与测试逻辑功能的仿真与测试信息与电气工程学院2.4 硬件描述语言硬件描述语言Verilog HDL基础基础WhatisVerilogHardwareDescriptionLanguage(HDL)Developedin1984Standard:IEEE1364,Dec1995信息与电气工程学院2.4 硬件描述语言硬件描述语言Verilog HDL基础基础ApplicationAreasofVerilogSystem Spec

30、ificationHW/SW PartitionHardware SpecSoftwre SpecASICFPGAPLDStd PartsBoards&SystemsSoftwareSuitable for all levelsBehavioral levelNot suitable信息与电气工程学院2.4 硬件描述语言硬件描述语言Verilog HDL基础基础Verilog HDL vs.VHDLVHDL“V”isshortforVeryHighSpeedIntegratedCircuits.DesignedforandsponsoredbyUSDepartmentofDefense.Des

31、ignedbycommittee(1981-1985).SyntaxbasedonAdaprogramminglanguage.WasmadeanIEEEStandardin1987.Verilog HDL(VHDL)Wasintroducedin1985byGatewayDesignSystemCorporation,nowapartofCadenceDesignSystems,Inc.sSystemsDivision.WasmadeanIEEEStandardin1995SyntaxbasedonCprogramminglanguage.信息与电气工程学院2.4 硬件描述语言硬件描述语言V

32、erilog HDL基础基础硬件描述语言硬件描述语言HDL(Hardware Description Languag)类似于高级程序设计语言类似于高级程序设计语言.它是一种以文本形式来描它是一种以文本形式来描述数字系统硬件的结构和行为的语言述数字系统硬件的结构和行为的语言,用它可以表示用它可以表示逻辑电路图、逻辑表达式,复杂数字逻辑系统所的逻逻辑电路图、逻辑表达式,复杂数字逻辑系统所的逻辑功能。辑功能。HDL是高层次自动化设计的起点和基础是高层次自动化设计的起点和基础.信息与电气工程学院2.4 硬件描述语言硬件描述语言Verilog HDL基础基础逻辑仿真逻辑仿真 是指用计算机仿真软件对数字逻

33、辑电路的结构和行为是指用计算机仿真软件对数字逻辑电路的结构和行为进行预测进行预测.仿真器对仿真器对HDL描述进行解释,以文本形式或时序波形描述进行解释,以文本形式或时序波形图形式给出电路的输出。在仿真期间如发现设计中存在错误,就图形式给出电路的输出。在仿真期间如发现设计中存在错误,就再要对再要对HDL描述进行及时的修改。描述进行及时的修改。逻辑综合逻辑综合 是指从是指从HDL描述的数字逻辑电路模型中导出电路基描述的数字逻辑电路模型中导出电路基本元件列表以及元件之间的连接关系(常称为门级网表)的过本元件列表以及元件之间的连接关系(常称为门级网表)的过程。类似对高级程序语言设计进行编译产生目标代码

34、的过程程。类似对高级程序语言设计进行编译产生目标代码的过程.产产生门级元件及其连接关系的数据库,根据这个数据库可以制作生门级元件及其连接关系的数据库,根据这个数据库可以制作出集成电路或印刷电路板出集成电路或印刷电路板PCB。计算机对计算机对HDL的处理的处理:信息与电气工程学院2.4.1 Verilog语言的基本语法规则语言的基本语法规则 为对数字电路进行描述(常称为建模),为对数字电路进行描述(常称为建模),Verilog语言规定语言规定了一套完整的语法结构。了一套完整的语法结构。1间隔符间隔符:Verilog 的间隔符主要起分隔文本的作用,可以的间隔符主要起分隔文本的作用,可以 使文本错落

35、有致,便于阅读与修改。使文本错落有致,便于阅读与修改。间隔符包括空格符(间隔符包括空格符(bb)、)、TAB 键(键(tt)、)、换行符(换行符(nn)及换页符。及换页符。2注释符注释符:注释只是为了改善程序的可读性,在编译时不起作用。注释只是为了改善程序的可读性,在编译时不起作用。多行注释符多行注释符(用于写多行注释用于写多行注释):/*-*/):/*-*/;单行注释符单行注释符 :以以/开始到行尾结束为注释文字。开始到行尾结束为注释文字。信息与电气工程学院2.4.1 Verilog语言的基本语法规则语言的基本语法规则为了表示数字逻辑电路的为了表示数字逻辑电路的逻辑状态,逻辑状态,Veril

36、og语言规语言规定了定了4 4种基本的逻辑值。种基本的逻辑值。标识符标识符:给对象(如模块名、电路的输入与输出端口、变量给对象(如模块名、电路的输入与输出端口、变量等)取名所用的字符串。以英文字母或下划线开始等)取名所用的字符串。以英文字母或下划线开始如如,clk、counter8、_net、bus_A。关键词关键词:是是Verilog语言本身规定的特殊字符串,用来定义语语言本身规定的特殊字符串,用来定义语言的结构。例如,言的结构。例如,module、endmodule、input、output、wire、reg、and等都是关键词。等都是关键词。关键词都是小写,关键词都是小写,关键词不关键词

37、不能作为标识符使用能作为标识符使用。4逻辑值集合逻辑值集合3标识符和关键词标识符和关键词 0逻辑0、逻辑假 1逻辑1、逻辑真 x或X不确定的值(未知状态)z或Z高阻态信息与电气工程学院2.4.1 Verilog语言的基本语法规则语言的基本语法规则5常量及其表示常量及其表示实数型常量实数型常量十进制记数法十进制记数法 如:如:0.10.1、2.02.0、5.675.67科学记数法科学记数法 如如:23_5.1e2、5E4 23510.0、0.0005Verilog允许用参数定义语句定义一个标识符来代表一个常量,允许用参数定义语句定义一个标识符来代表一个常量,称为符号常量。定义的格式为:称为符号常

38、量。定义的格式为:parameter 参数名参数名1 1常量表达式常量表达式1 1,参数名,参数名2 2常量表达式,常量表达式,;如;如 parameter BIT=1,BYTE=8,PI=3.14;6字符串字符串:字符串是双撇号内的字符序列字符串是双撇号内的字符序列常量常量十进制数的形式的表示方法十进制数的形式的表示方法:表示有符号表示有符号常量常量例如:例如:3030、2 2带基数的形式的表示方法带基数的形式的表示方法:表示表示常量常量格式为:格式为:整数型整数型例如:例如:3b101、5o37、8he3,8b1001_0011 信息与电气工程学院2.4.2 变量的数据类型变量的数据类型1

39、.1.线网类型线网类型:是指输出始终根据输入的变化而更新其值的是指输出始终根据输入的变化而更新其值的变量变量,它一般指的是硬件电路中的各种物理连接它一般指的是硬件电路中的各种物理连接.例例:wire L;/将上述电路的输出信号将上述电路的输出信号L L声明为网络型变量声明为网络型变量 wire 7:0 data bus;/声明一个声明一个8-bit8-bit宽的网络型总线变量宽的网络型总线变量常用的网络类型由关键词常用的网络类型由关键词wire定义定义wire型变量的定义格式如下:型变量的定义格式如下:wire n-1:0 n-1:0 变量名变量名1 1,变量名,变量名2 2,变量名,变量名n

40、;变量宽度变量宽度例例:网络型变量网络型变量L的值由与门的驱动信的值由与门的驱动信号号a a和和b b所决定,即所决定,即La&b。a、b的值的值发生变化,线网发生变化,线网L L的值会立即跟着变化。的值会立即跟着变化。&b a L 信息与电气工程学院2.4.2 变量的数据类型变量的数据类型寄存器型变量对应的是具有状态保持作用的电等路元件寄存器型变量对应的是具有状态保持作用的电等路元件,如触如触发器寄存器。寄存器型变量只能在发器寄存器。寄存器型变量只能在initial或或always内部被赋值。内部被赋值。2.寄存器型寄存器型寄存器寄存器类类型型 功能功能说说明明reg 常用的寄存器型常用的寄

41、存器型变变量量integer 32位位带带符号的整数型符号的整数型变变量量real 64位位带带符号的符号的实实数型数型变变量,量,time 64位无符号的位无符号的时间变时间变量量4种种寄存器类型的变量寄存器类型的变量例:例:reg clock;/定义一个定义一个1位寄存器变量位寄存器变量 reg 3:0 counter;/定义一个定义一个4位位寄存器变量寄存器变量抽象描述抽象描述,不对应具不对应具体硬件体硬件信息与电气工程学院2.4.3 Verilog程序的基本结构程序的基本结构2、每个模块先要进行端口的定义,并说明输入每个模块先要进行端口的定义,并说明输入(input)和输出和输出(ou

42、tput),然后对模块功能进行描述。然后对模块功能进行描述。Verilog使用大约使用大约100个预定义的关键词定义该语言的结构个预定义的关键词定义该语言的结构1、VerilogHDL程序由程序由模块构成。每个模块的内容都是嵌在关模块构成。每个模块的内容都是嵌在关键词键词module和和endmodule两个语句之间。每个模块实现特定的两个语句之间。每个模块实现特定的功能。功能。3、除了、除了endmodule语句外,每个语句后必须有分号。语句外,每个语句后必须有分号。4、可以用可以用/*-*/和和/.对对VerilogHDL程序的任何部分做注释。程序的任何部分做注释。信息与电气工程学院模块定

43、义的一般语法结构如下:模块定义的一般语法结构如下:2.4.3 Verilog程序的基本结构程序的基本结构信息与电气工程学院端口类型说明端口类型说明电路结构描述电路结构描述模块名模块名数据类数据类型说明型说明例例 用结构描述方式建立门电路用结构描述方式建立门电路Verilog模型模型/Gate-level description of simple circuitmodule mux2to1(a,b,sel,out);input a,b,sel;/定义输入信号定义输入信号 output out;/定义输出信号定义输出信号 wire selnot,a1,b1;/定义内部节点信号数据类型定义内部节点

44、信号数据类型/下面对电路的逻辑功能进行描述下面对电路的逻辑功能进行描述 not U1(selnot,sel);and U2(a1,a,selnot);and U3(b1,b,sel);or U4(out,a1,b1);endmodule 2.4.3 Verilog程序的基本结构程序的基本结构信息与电气工程学院2.3.4 逻辑功能的仿真与测试逻辑功能的仿真与测试逻辑电路的设计块完成后,就要测试这个设计块描述的逻辑功能逻辑电路的设计块完成后,就要测试这个设计块描述的逻辑功能是否正确。为此必须在输入端口加入测试信号,而从其输出端口是否正确。为此必须在输入端口加入测试信号,而从其输出端口检测其结果是否正确,这一过程常称为搭建测试平台。根据仿真检测其结果是否正确,这一过程常称为搭建测试平台。根据仿真软件的不同,搭建测试平台的方法也不同。软件的不同,搭建测试平台的方法也不同。信息与电气工程学院

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 生活休闲 > 生活常识

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com