模拟电子电路课程设计——正弦波三角波方波函数发生器.pdf

上传人:小*** 文档编号:83406277 上传时间:2023-03-30 格式:PDF 页数:22 大小:1.58MB
返回 下载 相关 举报
模拟电子电路课程设计——正弦波三角波方波函数发生器.pdf_第1页
第1页 / 共22页
模拟电子电路课程设计——正弦波三角波方波函数发生器.pdf_第2页
第2页 / 共22页
点击查看更多>>
资源描述

《模拟电子电路课程设计——正弦波三角波方波函数发生器.pdf》由会员分享,可在线阅读,更多相关《模拟电子电路课程设计——正弦波三角波方波函数发生器.pdf(22页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、精品文档课程设计任务书课程设计任务书学生姓名:学生姓名:专业班级:专业班级:指导教师:指导教师:工作单位:工作单位:题题目:目:正弦波三角波方波函数发生器初始条件:初始条件:具备模拟电子电路的理论知识;具备模拟电路基本电路的设计能力;具备模拟电路的基本调试手段;自选相关电子器件;可以使用实验室仪器调试。要求完成的主要任务:要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)1、频率范围三段:10100Hz,100 Hz1KHz,1 KHz10 KHz;2、正弦波 Uopp3V,三角波 Uopp5V,方波 Uopp14V;3、幅度连续可调,线性失真小;4、安装调试并完

2、成符合学校要求的设计说明书时间安排:时间安排:一周,其中 3 天硬件设计,2 天硬件调试指导教师签名:指导教师签名:年年月月日日系主任(或责任教师)签名:系主任(或责任教师)签名:年年月月日日精品文档精品文档目录1.综述 11.1 信号发生器概论 11.2 Multisim 简介 21.3 集成运放 lm324 简介 32.方案设计与论证 4 2.1 方案一 4 2.2 方案二 4 2.3 方案三 53.单元电路设计 6 3.1 正弦波发生电路的工作原理 6 3.2 正弦波变换成方波的工作原理 8 3.3 方波变换成三角波的工作原理 9 3.4 正负 12V 直流稳压电源的设计 104.电路仿

3、真 124.1 总波形发生电路 124.2 正弦波仿真 134.3 方波仿真 14 4.2 三角波仿真 145.实物制作与调试 155.1 焊接过程 155.2实物图 155.3 调试波形 186.数据记录 197.课设总结 208.参考书目 219.附录 22本科生课程设计成绩评定表 24精品文档精品文档1.1.综述综述1.11.1 信号发生器概论信号发生器概论在人们认识自然、改造自然的过程中,经常需要对各种各样的电子信号进行测量,因而如何根据被测量电子信号的不同特征和测量要求,灵活、快速的选用不同特征的信号源成了现代测量技术值得深入研究的课题。信号源主要给被测电路提供所需要的已知信号(各种

4、波形),然后用其它仪表测量感兴趣的参数。可见信号源在各种实验应用和实验测试处理中,它不是测量仪器,而是根据使用者的要求,作为激励源,仿真各种测试信号,提供给被测电路,以满足测量或各种实际需要。波形发生器就是信号源的一种,能够给被测电路提供所需要的波形。传统的波形发生器多采用模拟电子技术,由分立元件或模拟集成电路构成,其电路结构复杂,不能根据实际需要灵活扩展。随着微电子技术的发展,运用单片机技术,通过巧妙的软件设计和简易的硬件电路,产生数字式的正弦波、方波、三角波、锯齿等幅值可调的信号。与现有各类型波形发生器比较而言,产生的数字信号干扰小,输出稳定,可靠性高,特别是操作简单方便。根据用途不同,有

5、产生三种或多种波形的波形发生器,使用的器件可以是分立器件(如低频信号函数发生器 S101 全部采用晶体管),也可以采用集成电路(如单片函数发生器模块 8038)。信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。各种波形曲线均可以用三角函数方程式来表示。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。它用于产生被测电路所需特定参数的电测试信号。在测试、研究或调整电子电路及设备时,为测定电路的一些电参量,如测量频率响应、噪声系数,为电压表定度等,都要求提供符合所定技术条件的电信号,以模拟在实际工作中使用的待测设备的激励信号。当要求进行系统

6、的稳态特性测量时,需使用振幅、频率已知的正弦信号源。当测试系统的瞬态特性时,又需使用前沿时间、脉冲宽度和重复周期已知的矩形脉冲源。并且要求信号源输出信号的参数,如频率、波形、输出电压或功率等,能在一定范围内进行精确调整,有很好的稳定性,有输出指示。信号源可以根据输出波形的不同,划分为正弦波信号发生器、矩形脉冲信号发生器、函数信号发生器和随机信号发生器等四大类。正弦信号是使用最广泛的测试信号。精品文档精品文档现在,我们通过对函数信号发生器的原理以及构成设计一个能变换出正弦波、方波、三角波的简易发生器。众所周知,制作函数发生器的电路有很多种。本次设计先通过 RC 正弦波振荡电路产生正弦波,这是一种

7、频率可调的移相式正弦波发生器电路,其频率稳定一般为实验所确定,然后可以通过改变电容值来改变再通过电压比较器产生方波,最后通过积分电路形成三角波。此电路具有良好的正弦波和方波信号。它的制作成本不高,路简单,使用方便,有效的节省了人力,物力资源。信号发生器是电子测量领域中最基本、应用最广泛的一类电子仪器。该函数发生器要求能输出频率范围可调的正弦波、方波和三角波,能够很好的实现本次试验的目的,将一些线性和非线性的元件与集成运放组合,输出性能良好的波形.由正弦波、方波或三角波的发生器产生相应的信号,通过相互转换实现多种波形的输出。正弦波可以由 RC 正弦波振荡电路产生,之后通过过零比较器可产生方波,再

8、积分可得三角波。通过调节 RC 振荡电路中的振荡电阻来实现频率可调。通过调节比例运算电路的反馈电阻来实现幅度可调,最终做成要求的函数发生器。1.2 Multisim1.2 Multisim 简介简介Multisim 是美国国家仪器(NI)有限公司推出的以 Windows 为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。1.2.1 multisim1.2.1 multisim1010 概述概述:1.2.3.4.5.通过直观的电路图捕捉环境,轻松设计电路。通过交互式 SPICE 仿真,迅速了解电路行为。借助高

9、级电路分析,理解基本设计特征。通过一个工具链,无缝地集成电路设计和虚拟测试。通过改进、整合设计流程,减少建模错误并缩短上市时间。1.2.21.2.2 直观的捕捉和功能强大的仿真:直观的捕捉和功能强大的仿真:NIMultisim 软件结合了直观的捕捉和功能强大的仿真,能够快速、轻松、高效地对电路进行设计和验证。凭借NIMultisim,可以立即创建具有完整组件库的电路图,并利用工业标准 SPICE 模拟器模仿电路行为。借助专业的高级 SPICE 分析和虚拟仪器,能在设计流程中提早对电路设计进行的迅速验证,从而缩短建模循环。与 NILabVIEW 和 SignalExpress 软件的集成,完善了

10、具有强大技术的设计流程,从而能够比较具有模拟数据的实现建模测量。NI Multisim 软件是一个专门用于电子电路仿真与设计的 EDA 工具软件。NIMultisim 计算机仿真与虚拟仪器技术可以很好地解决理论教学与实际动手实验相精品文档精品文档脱节的这一问题。学员可以很方便地把刚刚学到的理论知识用计算机仿真真实的再现出来,并且可以用虚拟仪器技术创造出真正属于自己的仪表。1.31.3 集成运放集成运放 lm324lm324 简介简介LM324 系列器件带有差动输入的四运算放大器。与单电源应用场合的标准运算放大器相比,它们有一些显著优点。该四放大器可以工作在低到3.0 伏或者高到 32伏的电源下

11、,静态电流为 MC1741 的静态电流的五分之一。共模输入范围包括负电源,因而消除了在许多应用场合中采用外部偏置元件的必要性。每一组运算放大器可用图 1 所示的符号来表示,它有 5 个引出脚,其中“+”、“-”为两个信号输入端,“V+”、“V-”为正、负电源端,“Vo”为输出端。两个信号输入端中,Vi-(-)为反相输入端,表示运放输出端Vo 的信号与该输入端的位相反;Vi+(+)为同相输入端,表示运放输出端 Vo 的信号与该输入端的相位相同。图 1.3 lm324 引脚连接图LM324 系列由四个独立的,高增益,内部频率补偿运算放大器,其中专为从单电源供电的电压范围经营。从分裂电源的操作也有可

12、能和低电源电流消耗是独立的电源电压的幅度。应用领域包括传感器放大器,直流增益模块和所有传统的运算放大器可以更容易地在单电源系统中实现的电路。例如,可直接操作的 LM324 系列,这是用来在数字系统中,轻松地将提供所需的接口电路,而无需额外的 15V 电源标准的 5V 电源电压。关键词关键词:正弦波 方波 三角波 函数信号发生器 multisim2.2.方案设计与论证方案设计与论证精品文档精品文档2.12.1 方案一方案一图 2.1 方案一本方案先产生方波三角波,再将三角波变换成正弦波的电路设计方法,电路框图如图 2.1。用迟滞比较器与反相积分器首尾相串联构成方波-三角波产生电路,然后,采用差分

13、放大器,作为三角波正弦波变换电路利用差分对管的饱和与截止特性进行变换,差分放大器具有工作点稳定,输入阻抗高,抗干扰能力较强等优点。特别是作为直流放大器时,可以有效地抑制零点漂移,因此可将频率很低的三角波变换成正弦波。波形变换的原理是利用差分放大器传输特性曲线的非线性。此电路的输出频率就是方波-三角波产生电路的频率,将正弦波用比较器进行比较产生方波,调节比较电位,使得方波的占空比可以改变。但在实际操作中难以调试出正弦波,原因是差分电路难以达到绝对的对称。故未采用此方案。2.22.2 方案二方案二图 2.2 方案二精品文档精品文档本方案中正弦波与三角波的产生与方案二相同,用迟滞比较器与反相积分器首

14、尾相串联构成方波-三角波产生电路,但三角波到正弦波的变换电路采用的是有源RC 二阶低通选频电路,电路框图如图 2.2。此电路结构、思路简单,运行时性能稳定且能较好的符合设计要求,但是由于有源 RC 二阶低通滤波电路中的电容是与输出端相接,相当于形成一正反馈,若正反馈过大,可能引起滤波器自激,故未予采用。2.32.3 方案三方案三正弦波方波三角波RC 正弦波振荡电路图 2.3 方案三RC 正弦波振荡电路、电压比较器、积分电路共同组成的正弦波方波三角波函数发生器的设计方法,电路框图如图 2.3。先通过 RC 正弦波振荡电路产生正弦波,再通过电压比较器产生方波,最后通过积分电路形成三角波。此电路具有

15、良好的正弦波和方波信号。正弦波振荡电路是一种自己振荡电路,其实质是放大器引正反馈的结果。由放大电路、正反馈网络和选频网络组成。它没有输入信号,而是通过电路中的噪声经过选频产生。该方案思路清晰,产品易制作,本次实验课设我们采取该方案。精品文档过零比较器积分电路精品文档3.3.单元电路设计单元电路设计3.13.1 正弦波发生电路的工作原理正弦波发生电路的工作原理3.1.13.1.1 产生正弦振荡的条件产生正弦振荡的条件:正弦波产生电路的目的就是使电路产生一定频率和幅度的正弦波,我们一般在放大电路中引入正反馈,并创造条件,使其产生稳定可靠的振荡。正弦波产生电路的基本结构是:引入正反馈的反馈网络和放大

16、电路。其中:接入正反馈是产生振荡的首要条件,它又被称为相位条件;产生振荡必须满足幅度条件;要保证输出波形为单一频率的正弦波,必须具有选频特性;同时它还应具有稳幅特性。因此,正弦波产生电路一般包括:放大电路;反馈网络;选频网络;稳幅电路个部分。3.1.23.1.2 正弦波振荡电路的组成判断及分类:正弦波振荡电路的组成判断及分类:(1)放大电路:保证电路能够有从起振到动态平衡的过程,电路获得一定幅值的输出值,实现自由控制。(2)选频网络:确定电路的振荡频率,是电路产生单一频率的振荡,即保证电路产生正弦波振荡。(3)正反馈网络:引入正反馈,使放大电路的输入信号等于其反馈信号。稳幅环节:也就是非线性环

17、节,作用是输出信号幅值稳定。3.1.33.1.3 判断电路是否振荡的方法:判断电路是否振荡的方法:(1)是否满足相位条件,即电路是否正反馈,只有满足相位条件才可产生振荡。(2)放大电路的结构是否合理,有无放大能力,静态工作是否合适;(3)是否满足幅度条件。3.1.33.1.3 正弦波振荡电路检验正弦波振荡电路检验:(1)(2)(3)则不可能振荡;振荡,但输出波形明显失真;产生振荡。振荡稳定后输出波形的失真小。此种情况起振容易,振荡稳定,3.1.33.1.3 分类:分类:按选频网络的元件类型,把正先振荡电路分为:RC 正弦波振荡电路;LC 正弦波振荡电路;石英晶体正弦波振荡电路。3.1.4 RC

18、3.1.4 RC 正弦波振荡电路:正弦波振荡电路:常见的 RC 正弦波振荡电路是 RC 串并联式正弦波振荡电路,它又被称为文氏桥正弦波振荡电路。串并联网络在此作为选频和反馈网络。精品文档精品文档图 3.1 RC 桥式正弦波振荡电路1时,RC 选频网络RCRC 桥式正弦波振荡电路的构成如图所示。当w wo 的相移为零,这样 RC 串并联选频网络送到运算放大器同向输入端的信号电压 Vi与输出电压 Vo 同相,所以 RC 反馈网络形成正反馈,满足相位平衡条件。为使在震荡建立期间信号做增幅震荡,应选择 R1 和 R2 可使 Af3,保证。因此它的起振条件为:;它的振荡频率为:。它主要用于低频振荡。要想

19、产生更高频率的正弦信号,一般采用LC 正弦波振荡电路。它的振荡频率为:。此外,石英振荡器的特点是其振荡频率特别稳定,它常用于振荡频率高度稳定的的场合。RC 文氏桥振荡电路的稳幅作用是靠两个并联的二极管组成的,当输出信号较小时,二极管工作电流小,动态电阻大,电路的增益较大,引起增幅震荡过程。当输出幅度达到一定程度,二极管工作电流大,动态电阻小,电路的增益下降,电路的输出电压幅值将不再上升,从而使输出电压稳定,以此来达到稳幅的目的。精品文档精品文档3.23.2 正弦波变换成方波的工作原理正弦波变换成方波的工作原理3.2.13.2.1 电压比较器的功能电压比较器的功能电压比较器是用来比较两个电压大小

20、的电路,它的输入信号是模拟电压,输出信号一般是只有高电平和低电平两个稳定状态的电压。利用电压比较器可将各周期性信号转换成矩形波。3.2.23.2.2 过零比较器过零比较器参考电压为零的比较器称为过零比较器。按输入方式的不同可分为反相输入和同相输入两种过零比较器,通常用阈值电压和传输特性来描述比较器的工作特性。阈值电压(又称门槛电平)是使比较器输出电压发生跳变时的输入电压值,简称为阈值,用符号 UTH 表示。图 3.2.1 过零比较器本电路中该电路的作用是将正弦信号转变成方波信号,其传输特性曲线如下图所示:图 3.2.2 传输特性曲线精品文档精品文档3.33.3 方波变换成三角波的工作原理方波变

21、换成三角波的工作原理方波经过积分器就变成了三角波。但是此电路要求前后电路的时间常数配合好,不能让积分器饱和。图 3.3.1 积分电路原理Vo-Vi 为积分关系,负号表示输入和输出信号相位相反。当 Vi 为定值时,电容将恒流充电,输出电压为:Vo 当积分器输入信号为方波时,其输出信号为三角波,电路波形图如下:VitRC图 3.3.2 三角波发生器工作波形精品文档精品文档图 3.3.2 积分电路仿真图3.43.4 正负正负 12V12V 直流稳压电源的设计直流稳压电源的设计直流稳压电源是一种将 220V 工频交流电转换成稳压输出的直流电压的装置,它需要经过变压、整流、滤波、稳压四个环节才能完成。3

22、.4.13.4.1 设计原理设计原理直流稳压电源一般由电源变压器 T、整流滤波电路及稳压电路所组成,基本框图如图所示:图 3.4.1 直流稳压电源设计框图及波形3.4.23.4.2 各部分作用各部分作用精品文档精品文档1、电源变压器 T:作用是将电网 220V 的交流电压变换成整流滤波电路所需要的交流电压 Ui。2、整流电路:整流电路将交流电压 Ui 变换成脉动的直流电压。再经滤波电路滤除较大的纹波成分,输出纹波较小的直流电压 U1。3、滤波电路:经整流后的直流输出电压脉动性很大,不能直接使用,为减少其交流成分,常在整流电路后接滤波电路。滤波电路的主要任务是将整流后的单向脉动直流电压中的纹波滤

23、除掉,使其输出平滑的直流电压,这里我们采用接入滤波电容来组成滤波电路。4、稳压电路:常用的稳压电路有两种形式:一是稳压管稳压电路,二是串联型稳压电路。二者的工作原理有所不同。稳压管稳压电路其工作原理是利用稳压管两端的电压稍有变化,会引起其电流有较大变化这一特点,通过调节与稳压管串联的限流电阻上的压降来达到稳定输出电压的目的。3.4.33.4.3 具体电路设计具体电路设计在整流电路中我们采用全波整流桥,该电路的整流效果和输出电压波形为单项半波整流的两倍,在稳压电路中采用 CW7812 和 CW7912 型号的这两个集成稳压器芯片组成的具有同时输出固定的+12V、-12V 电压的稳压电路。该电路对

24、称性好,温度特性也近似一致。图 3.4.2 直流稳压电源电路图3.4.43.4.4 稳压电源的性能指标及测试方法稳压电源的性能指标及测试方法精品文档精品文档稳压电源的技术指标分为两种:一种是特性指标,包括允许输入电压、输出电压、输出电流及输出电压调节范围等;另一种是质量指标,用来衡量输出直流电压的稳定程度,包括稳压系数(或电压调整率)、输出电阻(或电流调整率)、纹波电压(纹波系数)及温度系数。4.4.电路仿真电路仿真4.14.1 总波形发生电路总波形发生电路图 4.1 正弦波方波三角波函数发生器该电路分为三部分,第一部分为 RC 桥式正弦振荡电路,其功能是利用 RC 振荡产生特定频率的正弦波;

25、第二部分为过零电压比较器电路,其功能为将正弦波转成方波;第三部分为积分电路,其功能为利用积分电路将方波转成三角波;此外,在积分电路之前设置一个电压跟随器,起到隔离作用,使过零电压比较器电路与积分电路不相互影响。确定电路元器件参数:稳压管的作用是限制和确定方波的幅度,因此方波正负半周的对称性与稳压管性能有关。因此选用稳压管时,要选择性能好一些的两个管性能对称的稳压管,最好选用双向稳压管;R3 是稳压管的限流电阻,阻值根据稳压管的电路确定;Rp1 和 Rp2 给比较器提供门限电平,他们可以决定三角波的幅值.因此 Rp1 和 R2 的值应根据三角波的幅值决定。一般为了使三角波的幅值可调,常用电位器作

26、为 Rp1、Rp2 和电容 C 的值决定三角波的频率 f。一般是 Rp1 和 R2 的值确定后,可以先确定电容C 的值,然后由f。=R2/(4Rp2Rp1C)来确定R4 的值.Rp2 若采用电位器,则三角波频率可调。为了减小积分漂移,电容 C 的值尽量取大些,但是精品文档精品文档C 值越大漏电也越大,因此一般 C 不超过 1uF;集成运放的选择用于比较器的运放要求速度应该高些。通过改变反馈网络中的变阻 RP3 的阻值可以改变正弦波的输出波形的幅值;之后方波的输出波形也随之确定;通过改变变阻 RP4 的阻值可以改变三角波的输出波形的幅值。4.24.2 正弦波仿真正弦波仿真在变阻 RP1、RP2

27、百分比分别为 50%,RP3 百分比为 25%,示波器输出的波形如下图所示。图 4.2 正弦波仿真视图由图可知 T1 的时间为 1.315s,通道 A 为-1.660V。T2 的时间为 1.338s,通道 A为 1.658V。由此可知仿真出的正弦波的幅值为:1.660V;峰-峰值为:3.318V;周期为 46.154ms。4.34.3 方波仿真方波仿真精品文档精品文档图 4.3 方波仿真视图由图可知 T1 的时间为 736.976ms,通道A 为-6.834V。T2 的时间为 760.908ms,通道 A 为 6.812V。由此可知仿真出的正弦波的幅值为:6.812V;峰-峰值为:13.646

28、V;周期为 47.864ms。4.44.4 三角波仿真三角波仿真图 4.4 三角波仿真视图精品文档精品文档由图可知 T1 的时间为 5.516s,通道 A 为-2.554V。T2 的时间为 5.180s,通道 A为 2.513V。由此可知仿真出的正弦波的幅值约为:2.554V;峰-峰值为:5.067V;周期为 24.786ms。5.5.实物制作与调试实物制作与调试5.15.1 焊接过程焊接过程1、在排版排线时注意疏密得体,各线方向最好一致,各个焊点之间独立,以免造成线路短路。2、焊接电路时,注意焊接完成后,将烙铁头放回原位并关掉电源,避免造成旁人不经意受伤。3、电路焊接完成后,在示波器上没能显

29、示出波形。寻找电路焊接的错误,然后对电路进行修改,最终在示波器上显示出设计要求的波形。4、调试时,积分电路中的三角波底部出现失真。在积分电路上并联一个 100K 微调电阻和一个 0.1uF 电容,通过调节电阻。最后得以实现三角波。5、设计过程中,要注意与组员协调合作,分工有序,否则会造成不必要的错误,导致整个设计的失败。6、焊接芯片时,注意正负极以及各引脚的排序,避免焊接时电路连接错误,造成波形不能显示。5.25.2 实物图实物图总体外观:图 5.2.1 总体外观拍摄图信号发生器正面:图 5.2.2 信号发生器正面拍摄图信号发生器背面:图 5.2.3 信号发生器背面拍摄图直流稳压电源正面:图

30、5.2.4 直流稳压电源正面拍摄图精品文档精品文档直流稳压电源背面:图 5.2.5 直流稳压电源背面拍摄图5.35.3 调试波形调试波形5.3.15.3.1 正弦波正弦波图 5.3.1 正弦波调试实际调试中输出的正弦波波形如上图所示。其中 Vmax=1.64V;Vmin=-1.64V;峰-峰值为 3.28V。5.3.25.3.2 方波方波图 5.3.2 方波调试实际调试中输出的方波波形如上图所示。其中Vmax=7.00V;Vmin=-10.2V;峰-峰值为 17.2V。5.3.35.3.3 三角波三角波图 5.3.3 三角波调试实际调试中输出的三角波波形如上图所示。其中Vmax=10.0V;V

31、min=4.96V;峰-峰值为 5.04V。6.6.数据记录数据记录1.1.正弦波正弦波正弦波测试结果:输出电压 3.28V,频率 10HZ-8.2KHZ 可调;2.2.方波方波方波测试结果:输出电压 17.2V,频率 5HZ-7.8KHZ 可调;3.3.三角波三角波三角波测试结果:输出电压 5.04V,频率 10HZ-8.0KHZ 可调;4.4.电源电源电源测试结果:正极输出+12.01V,负极输出-11.96V。7.7.课设总结:课设总结:精品文档精品文档我们在课堂上掌握的仅仅是专业基础课的理论面,如何去面对现实中的各种设计?如何把我们所学到的专业基础理论知识用到实践中去呢?这次的模电课程

32、设计就为我们提供了良好的实践平台。在这次难得的课程设计过程中我锻炼了自己的思考能力和动手能力。通过题目构思和设计电路的过程中,加强了我思考问题的完整性和实际生活联系的可行性。在方案设计选择和芯片的选择上,培养了我们综合应用的能力,对函数信号发生器的功能也有了进一步的认识。还锻炼我们个人的查阅技术资料的能力,动手能力,发现问题,解决问题的能力。并且我们熟练掌握了有关器件的性能及测试方法。在电路的选择与仿真过程中,我发现从刚开始的 Multisim 到现在的 Protel,不管是学习哪种软件,都给我留下了很深的印象。由于之前软件仿真方面接触的不多,开始着手的时候觉得很费力,但慢慢的摸索出来,到后来

33、就好多了。在每次的课程设计中,遇到问题,最好的办法就是问别人,因为每个人掌握情况不一样,不可能做到处处都懂,发挥群众的力量,复杂的事情就会变得很简单。这一点我深有体会,在很多时候,我遇到的困难或许别人之前就已遇到,向他们请教远比自己在那冥思苦想要来得快捷。最后的调试部分也不是易事,因为理论计算的值在实际当中并不一定是最佳参数,我们必须通过观察效果来改变参数的数值以期达到最好。通过此次对函数信号发生器的设计,我掌握了常用元件的识别和测试;熟悉了常用的仪器仪表;进一步了解了电路的连接、焊接方法;以及如何提高电路的性能。进一步使我巩固了有关正弦波信号产生电路与直流稳压电源相关方面的知识,明白了实践出

34、真知的真谛。同时,我懂得了学习的重要性,了解到理论知识与实践相结合的重要意义,学会了坚持、耐心和努力,这将为自己今后的学习和工作铺展了道路。另外,课堂上也有部分知识不太清楚,于是我又不得不边学边用,时刻巩固所学知识,这也是我作本次课程设计的一大收获。与此同时,实验的过程也是对我们的团队精神进行了考察,让我们在合作起来更加默契,在成功后一起体会喜悦的心情。果然是团结就是力量,只有互相之间默契融洽的配合才能换来最终完美的结果。当然,这其中也有很多问题,第一、不够细心,比如由于粗心大意而在焊接过程中出现一些小问题,由于对课本理论的不熟悉导致仿真时出现错误。第二,是在学习态度上,这次课设是对我的学习态

35、度的一次检验。对于这次正弦波三角波方波函数发生器综合课程设计,我的第一大心得体会就是作为一名未来的工程技术人员,要求具备的首要素质绝对应该是严谨。我们这次课设过程中所遇到的多半问题都是由于我们不够严谨。第三,在做人上,我认识到,无论做什么事情,只要你足够坚强,有足够的毅力与决心,有足够的挑战困难的勇气,就没有什么办不到的。最后,用“学以致用”来形容此次模电课设再恰当不过了。8.8.参考书目参考书目【1】模拟电子技术基础吴友宇 编清华大学出版社 2009 出版精品文档精品文档【2】电路分析刘岚 编科学出版社 2012 出版【3】模拟电子技术基础(第三版)童诗白 编北京高教出版社 2001 出版【

36、4】模拟电子技术胡宴如 编北京高等教育出版社 2000 出版【5】电子技术基础(模拟部分)康华光 编高等教育出版社 1999 出版【6】模拟电子技术基础(第 3 版)王远 编机械工业出版社 2007 出版【7】模拟电子电路及技术基础 孙肖子 编 西安电子科技大学出版社 2008 出版9.9.附录附录精品文档函数信号发生器元件清单函数信号发生器元件清单精品文档名称运算放大器二极管稳压管瓷片电容标号U1、U2、U3、U4D1、D2D3、D4C1、C2C3规格LM3241N40071N753A0.1uF1uF1003301K2K10K100K5K100K500K10*102*7电阻R1、R2R5R4、R8R3R6R9电位器RP3RP4RP1、RP2PCB 板插槽直流稳压电源元件清单直流稳压电源元件清单名称标号规格精品文档精品文档三端集成稳压器二极管电解电容瓷片电容LM1、LM2D1、D2、D3、D4C1、C2C7、C8C3、C4C5、C67812、79121N4007470uF100nF300 nF10uF双 9V变压器整流桥T1D1精品文档

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 技术资料 > 实施方案

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com