第1讲(EDA).ppt

上传人:s****8 文档编号:82826402 上传时间:2023-03-26 格式:PPT 页数:79 大小:3.54MB
返回 下载 相关 举报
第1讲(EDA).ppt_第1页
第1页 / 共79页
第1讲(EDA).ppt_第2页
第2页 / 共79页
点击查看更多>>
资源描述

《第1讲(EDA).ppt》由会员分享,可在线阅读,更多相关《第1讲(EDA).ppt(79页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、 淮阴工学院电信系淮阴工学院电信系 主讲老师:李慧 13645234923 本课程相关参考书目谭会生,张昌凡编著 EDA.第2版.潘松编著 EDA第一章第一章 绪论部分绪论部分1.1电子系统1.2电子设计自动化(EDA技术)1.2.1EDA技术的含义1.2.2EDA技术的发展历程1.2.3EDA技术的特点1.2.4EDA系统的构成1.2.5EDA未来发展方向1.3数字电子系统的设计流程1.1电子系统系统的概念任何一个由两个或者两个以上相互作用部件组成物体都可以称为系统,组成系统的种类很多,例如:电子系统,生态系统,经济系统,社会系统等等.电子系统的概念:由电子元器件及相关装置组成的能实现某些特

2、定功能的电子电路我们称之为电子系统.电子系统的分类:从接收处理的信号分,可以分为直流温压电源数字电子计算机,数控机床数字控制系统数字化彩色电视接收机模拟电子系统模拟电子系统模拟电子系统模拟电子系统,混合混合(模拟模拟+数字数字)电子系统电子系统.返回数字电子系统数字电子系统,1.2电子设计自动化(EDA技术)1.2.1EDA技术的含义 就是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻

3、辑布局布线、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术。4个基本条件:1)大规模可编程逻辑器件设计载体主要表达手段(主要介绍VHDL语言)MAX+PlusIIZYE1502D湖北众友NEXT2)硬件描述语言3)软件开发工具4)实验开发系统(下载、硬件验证)VHDL语言程序约定 (1)方括号“”内的内容为可选内容。(2)对于VHDL的编译器和综合器来说,程序文字不区分大小写。(3)程序中的注释使用双横线“-”。(4)为了便于程序的阅读与调试,书写和输入程序时,使用层次缩进格式,同一层次的对齐,低层次的较高层次的缩进两个字

4、符。(5)MAX+plusII要求源程序文件的名字与实体名必须一致,建议各个源程序文件的命名均与其实体名一致。返回设计举例1.2.2EDA技术的发展历程 EDA技术伴随着计算机、集成电路、电子系统设计的发展,经历了计算机辅助设计(Computer Assist Design,简称CAD)、计算机辅助工程设计(Computer Assist Engineering Design,简称CAE)和电子设计自动化(Electronic Design Automation,简称EDA)三个发展阶段。20世纪70年代20世纪80年代20世纪90年代CAD特点(20世纪70年代第一代CAD):硬件以16位小

5、型计算机为基础,软件功能主要是交互式图形编辑和设计规则检查。CAE特点(20世纪80年代第二代CAD):支持原理图输入,模拟验证,逻辑综合,芯片布图,印刷电路板布图,并提供单元库。硬件为高性能的32位工作站平台。EDA特点(20世纪90年代):设计可以从高层次开始,使用标准化的硬件描述语言(如VHDL)描述被设计电路的行为特性,自顶向下的跨越各个层次完成整个设计;支持设计成果的交流,移植,高速大规模集成电路设计。1.2.3EDA技术的特点1.2.4EDA系统的构成 如果从专用集成电路ASIC开发与应用角度看,EDA软件系统应当包含以下子模块:设计输入子模块、设计数据库子模块、分析验证子模块、综

6、合仿真子模块、布局布线子模块等。(1)设计输入子模块:设计输入子模块:该模块接受用户的设计描述,并进行语义正确性、语法规则的检查,检查通过后,将用户的设计描述数据转换为EDA软件系统的内部数据格式,存入设计数据库被其他子模块调用。设计输入子模块不仅能接受图形描述输入图形描述输入图形描述输入图形描述输入、硬件描述硬件描述硬件描述硬件描述语言语言语言语言(HDL)(HDL)描述输入描述输入描述输入描述输入,还能接受图文混合描述输入图文混合描述输入图文混合描述输入图文混合描述输入。该子模块一般包含针对不同描述方式的编辑器,如图形编辑器、文本编辑器等,同时包含对应的分析器。原理图输入方式:原理图输入方

7、式:利用EDA工具提供的图形编辑器以原理图的方式进行输入。原理图输入方式比较容易掌握,直观且方便,所画的电路原理图(请注意,这种原理图与利用Protel画的原理图有本质的区别)与传统的器件连接方式完全一样,很容易被人接受,而且编辑器中有许多现成的单元器件可以利用,自己也可以根据需要设计元件。然而原理图输入法的优点同时也是它的缺点:随着设计规模增大,设计的易读性迅速下降,对于图中密密麻麻的电路连线,极难搞清电路的实际功能;一旦完成,电路结构的改变将十分困难,因而几乎没有可再利用的设计模块;移植困难、入档困难、交流困难、设计交付困难,因为不可能存在一个标准化的原理图编辑器。(2)设计数据库子模块:

8、设计数据库子模块:该模块存放系统提供的库单元以及用户的设计描述和中间设计结果。(3)分析验证子模块:分析验证子模块:该模块包括各个层次的模拟验证、设计规则的检查、故障诊断等。(4)综合仿真子模块:综合仿真子模块:包括各个层次的综合工具,理想的情况是:从高层次到低层次的综合仿真全部由EDA工具自动实现。(5)布局布线子模块:布局布线子模块:该模块实现由逻辑设计到物理实现的映射,因此与物理实现的方式密切相关。(6)划分子系统。划分子系统。1.2.5EDA未来发展方向未来的EDA技术向深度和广度两个方向上发展返回1.3数字电子系统的设计流程1、自顶向下设计法(分模块设计)2、自底向上设计法3、混合式

9、设计法1 1、自顶向下设计法、自顶向下设计法 是EDA的理想境界的方法,设计过程分层次:先做顶层设计(总体概念设计,总体框图,抽象级别比较高的层次的设计),再做底层模块设计(子系统,子电路,接近物理实现的较低的层次的设计)。在每一个设计层次上,大体都有描述、划分、综合和验证等四种类型的工作。优点:(1)自顶向下设计方法是一种模块化设计方法。对设计的描述从上到下逐步由粗略到详细,符合常规的逻辑思维习惯。由于高层设计同器件无关,设计易于在各种集成电路工艺或可编程器件之间移植。(2)适合多个设计者同时进行设计。随着技术的不断进步,许多设计由一个设计者已无法完成,必须经过多个设计者分工协作完成一项设计

10、的情况越来越多。在这种情况下,应用自顶向下的设计方法便于由多个设计者同时进行设计,对设计任务进行合理分配,用系统工程的方法对设计进行管理。针对具体的设计,实施自顶向下的设计方法的形式会有所不同,但均需遵循以下两条原则:逐层分解功能,分层次进行设计。同时,应在各个设计层次上,考虑相应的仿真验证问题。2)设计方法之二(自底向上bottom-up design)从小模块逐级构造大模块以至整个电路的方法.类似传统的电子设计方法,早期的EDA工具主要采用这种方法,譬如,早期的基于门级的芯片设计和生产使我们积累起门级的单元库.此后在门级单元库的基础上再建立宏单元库(例如加法器,译码器,计数器等等).3)设

11、计方法之三(混合设计法)综合以上两种设计方法,根据具体情况灵活使用.下一章LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY GATE IS PORT(A,B:IN STD_LOGIC;YAND,YOR,YNAND,YNOR,YXOR:OUT STD_LOGIC);END GATE;ARCHITECTURE ART OF GATE IS BEGIN 库文件实体结构体 YAND=A AND BYAND=A AND B;-与门输出与门输出 YOR=A OR BYOR=A OR B;-或门输出或门输出 YNAND=A NAND BYNAND=A NAND

12、B;-与非门输出与非门输出 YNOR=A NOR BYNOR=A NOR B;-或非门输出或非门输出 YXOR=A XOR BYXOR=A XOR B;-异或门输出异或门输出 ENDEND;波形仿真参考线(参考线(1.471.47微秒处)微秒处)A=1A=1,B=0B=0YXORYXOR(异或)异或)=A XOR B=1A XOR B=1YORYOR(或)或)=A OR B=1A OR B=1YNORYNOR(或非)或非)=A NOR B=0A NOR B=0YNANDYNAND(与非)与非)=A NAND B=1A NAND B=1YANDYAND(与)与)=A AND B=0A AND B

13、=0library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity jsq is port(rst,clk:in std_logic;q:out std_logic_vector(3 downto 0);end;architecture bh of jsq issignal tmp:std_logic_vector(3 downto 0);beginprocess(clk)is begin if rst=1 then tmp=0000;elsif clkevent and clk=1 then tm

14、p=tmp+1;end if;end process;q”出现另一窗口,在此窗口中单击“Next”后出现图2-3画面;(4)单击“YES”,表示接受此协议。此时出现一提示,告之你需要一个license文件来运行程序,单击此提示中的“Next”,出现图2-4的画面;(5)输入用户名等,单击“Next”出现图2-5的画面,选择完全安装,单击“Next”出现如图2-6所示的画面;(6)在图2-5中使用默认选择,即安装所有组件,并单击“Next”按钮。因文件安装在D盘,故需单击“Browse”按钮,出现图2-6所示的画面。键入或选择你要安装到的目录,此处所选为“d:maxplus2”,然后按“OK”。

15、因该目录不存在,故会出现提示“是否创建此目录”。选择“是(Y)”。(7)要求为选择MAX+PLUSII部件进行安装。该部件包含许多设计的源代码/图,如一些VHDL,VerilogHDL的例子。可将其安装在“D:max2work”目录下。按”Browse“改变目录名后,单击“OK”,“Next”后可出现图2-7;另外将MAX+PLUSII软件的ACCESSKeyGuidelines系列文件安装在“D:max2Key”目录下,如图2-8所示。(8)单击“Next”即开始安装。安装好MAX+plus10.2后,在第一次运行时需做许多工作,才能使软件正常运行。下一页图图2-2返回 图图2-3返回图图2

16、-4返回图图2-5返回图图2-6返回图图2-7返回图图2-8返回1.2.2 MAX+PLUS II10.2的第一次运行的第一次运行:(1)双击MAX+PLUSII10.2的图标,或从“开始”菜单“程序”中的“ALTERA”组中的“MAX+PLUSII10.2”运行MAX+PLUSII10.2;在出现MAX+PLUSII10.2的界面时,出现“LicenseAgreement”窗口(图2-9),Alera公司要求用户阅读Licenceagrement文档,用户阅读时要按顺序阅读完全部文档,界面下方的YES按钮被激活。表示ALTER公司已同意你使用该软件。图图2-9“License Agreeme

17、nt”窗口窗口(2)在主界面菜单选择OptionLicenseSetup菜单(如图2-10所示),Browse选择D:maxplus2,并选择license.dat为授权文件,退出MAX+plusII,再次进入MAX+plusII。图图2-102.2.3 MAX+PLUS II的设计流程的设计流程 MAX+PLUS II的设计过程也可用流程图表示,其中各方框标明了所完成的功能。设计输入设计输入项目编译项目编译功能功能/时序仿真时序仿真项目校验项目校验编程编程/配置配置项目编程项目编程2.3MAX+PlusII的原理图输入设计法以以设计一位全加器为例:设计一位全加器为例:首先建立新目录!为设计工

18、程建立一个新的目录-WORK 库新建目录打开原理图编辑窗选原理图编辑器用鼠标双击图面基本逻辑器件库,双击之二输入或门用键盘打入输入引脚名,并回车同样方法引进输出引脚将半加器原理图存盘文件取名为 adderh.gdf将半加器变成一单一元件,并入库注意,选此目录,可将当前文件变成原理图软件入库!将当前设计文件设定为工程文件注意,此路径的指示文件始终指向当前的工程文件!为顶层设计文件-全加器的设计 另建一原理图编辑窗双击此元件打开原理图编辑窗设计全加器原理图存盘!将当前文件设置成工程文件!编译/综合前选定适配元件选择适配器件再选择适当的器件,以下假设所选的器件是EPF10K10LC84选择器件系列编

19、译!选择波形编辑器仿真测试全加器的逻辑功能建立波形仿真文件输入测试信号输入测试信号全加器端口信号按此键设置输入信号电平,启动仿真器启动仿真器时序仿真逻辑测试正确怎样利用ZYE1502D系统测试我的设计项目呢?在EDA实验系统上测试设计的结果1、连接好数据线,首先打开实验箱上的交流电源,然后依次打开直流电源,最后打开编程电源。注意打开电源的顺序!2、将拨码开关SM的第2、3位拨到ON,其他的拨到OFF。通用下载模块输出显示模块电源模块键盘输入模块钟模块时Sm模式选择对于10K10器件,确定具体引脚号根据电路结构模式查上表,EPF10K10器件对应:加数 ain:对应引脚-54被加数 bin:对应引脚-58加和 sum :对应引脚-27低位进位 cin:对应引脚-59高位溢出位 cout:对应引脚-28编译后,根据上表进行引脚锁定对选定器件10K10后,按START,先编译一次对然后进行引脚锁定根据电路锁定器件引脚双击此标号观察适配报告引脚锁定后,进行编译、综合和适配引脚锁定后,进行编译、综合和适配双击此标号启动编程器适配报告用去两个逻辑宏单元启动编程器并设置下载模式编程窗口被打开接着设置编程方式选Byteblaster(MV)向EPF10K10下载成功!OK!用VHDL语言怎么实现?

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 生活休闲 > 生活常识

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com