数字电路与数字逻辑 第6章_时序逻辑电路.ppt

上传人:s****8 文档编号:82792090 上传时间:2023-03-26 格式:PPT 页数:84 大小:5.30MB
返回 下载 相关 举报
数字电路与数字逻辑 第6章_时序逻辑电路.ppt_第1页
第1页 / 共84页
数字电路与数字逻辑 第6章_时序逻辑电路.ppt_第2页
第2页 / 共84页
点击查看更多>>
资源描述

《数字电路与数字逻辑 第6章_时序逻辑电路.ppt》由会员分享,可在线阅读,更多相关《数字电路与数字逻辑 第6章_时序逻辑电路.ppt(84页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、第六章第六章 时序逻辑电路时序逻辑电路安徽建工学院安徽建工学院孙虹孙虹第六章第六章 时序逻辑电路时序逻辑电路6-1概述概述6-2时序逻辑电路的分析方法时序逻辑电路的分析方法6-3若干常用的时序逻辑电路若干常用的时序逻辑电路6-4时序逻辑电路的设计方法时序逻辑电路的设计方法6-16-1 概述概述反馈电路将存储电路的输出状态反馈到组合逻辑电路的输入端,与输入信号一起共同决定电路的输出。时序逻辑电路的特点时序逻辑电路的特点1、功能特点、功能特点而且取决于上一个时刻的输出状态。包含组合逻辑电路和存储电路;包含反馈电路。任一时刻的输出信号不仅取决于此时刻的输入信号,2、电路特点、电路特点按触发脉冲输入方

2、式的不同,按触发脉冲输入方式的不同,时序电路可分为同时序电路可分为同步时序电路和异步时序电路。同步时序电路是指各步时序电路和异步时序电路。同步时序电路是指各触发器状态的变化受同一个时钟脉冲控制;而在异触发器状态的变化受同一个时钟脉冲控制;而在异步时序电路中,各触发器状态的变化不受同一个时步时序电路中,各触发器状态的变化不受同一个时钟脉冲控制。钟脉冲控制。3 3电路框图电路框图 Z Z(t tn n)=FX=FX(t tn n),),Y Y(t tn n)输出方程输出方程 W W(t tn n)=GX=GX(t tn n),),Y Y(t tn n)驱动方程驱动方程 Y Y(t tn+1n+1)

3、=HW=HW(t tn n),),Y Y(t tn n)状态方程状态方程信号间的关系:信号间的关系:4 4分类分类按按Q Qn nQ Qn+1n+1的特点:的特点:同步时序电路:在同一同步时序电路:在同一CPCP下发生翻转;下发生翻转;异步时序电路:不在同一异步时序电路:不在同一CPCP下发生翻转。下发生翻转。按按Z Z的特点的特点:米里型(米里型(MealyMealy):):Z=FXZ=FX、YY 摩尔型(摩尔型(MooreMoore):):Z=FYZ=FY(是米里型的特例)是米里型的特例)6-26-2 时序逻辑电路的分析方法时序逻辑电路的分析方法v 重点讲同步时序逻辑电路的分析方法。v 同

4、步时序电路:构成电路的每块触发器的时钟脉冲来自同 一个脉冲源,同时作用在每块触发器上。v 异步时序电路:构成电路的每块触发器的时钟脉冲来自不同的脉冲源,作用在每块触发器上的时间也不一定相同。一、同步时序逻辑电路的分析步骤一、同步时序逻辑电路的分析步骤1、写输出方程2、写驱动方程3、写状态方程4、填状态转换表5、画状态转换图6、画时序波形图7、分析其功能8、检查自启动二、举例二、举例试分析下图时序电路的逻辑功能。P227解:1)输出方程Y=Q3Q22)驱动方程J3=Q2Q1 ;J1=Q3Q2 ;K2=Q3 Q1 3)状态方程=Q3Q2 Q1=Q2Q1+Q3Q2Q1=Q3Q2Q1+Q3Q2Q1n+

5、1=J1Q1+K1Q1Q2n+1=J2Q2+K2Q2Q3n+1=J3Q3+K3Q3 K1=1J2=Q1 ;K3=Q2=(Q3+Q2)Q1Q3Q2Q1YCP1J1K1J1K1J1K&1&Q3Q2Q1C1C1C14)状态转换表)状态转换表CP的顺序Q3 Q2 Q1Y 设:0 0 0 0 设:0 1 1 1 则:1 0 0 0Q1n+1Q2n+1Q3n+1=Q2Q1+Q3Q2Q1=Q3Q2Q1+Q3Q2=(Q3+Q2)Q1Y=Q3Q2 则:100120103011410051016110000000170000已知:已知:5)状态转换图)状态转换图000001010011100101110111/0

6、/1Q3Q2Q1/Y/0/0/0/0/0/16)时序图时序图CPtQ3tYtQ2t7、分析电路的功能、分析电路的功能8、检查自启动、检查自启动由状态转换表知,此电路能自启动。1 2 3 4 5 6 7Q1t随CP的输入,电路循 环输出七个稳定状态,所以是七进制计数器。Y端的输出是此七进制 计数器的进位脉冲。110000三、举例三、举例试分析如图所示的时序逻辑电路试分析如图所示的时序逻辑电路解:该电路为同步时序逻辑电路,时钟方程可以不写。解:该电路为同步时序逻辑电路,时钟方程可以不写。(1)写出输出方程:)写出输出方程:(2 2)写出驱动方程:)写出驱动方程:(3)写出)写出JK触发器的特性方程

7、,然后将各驱动方程代入触发器的特性方程,然后将各驱动方程代入JK触发器的触发器的特性方程,得各触发器的次态方程:特性方程,得各触发器的次态方程:(4)作状态转换表及状态图)作状态转换表及状态图 当当X=0时:触发器的次态方程简化为:时:触发器的次态方程简化为:作出作出X=0的状态表:的状态表:输出方程简化为:输出方程简化为:现现 态态次次 态态输输 出出Q1 n Q0 n Q1 n+1 Q0 n+1 Z 000101100100001当当X=1时:触发器的次态方程简化为:时:触发器的次态方程简化为:作出作出X=1的状态表:的状态表:将将X=0与与X=1的状态图合并起来得完整的状态图。的状态图合

8、并起来得完整的状态图。输出方程简化为:输出方程简化为:各触发器的次态方程:各触发器的次态方程:现现 态态次次 态态输输 出出Q1 n Q0 n Q1 n+1 Q0 n+1 Z 001010011010000 根据状态表或状态图,根据状态表或状态图,可画出在可画出在CP脉冲作用下电路的时序图。脉冲作用下电路的时序图。(5 5)画时序波形图。)画时序波形图。(6 6)逻辑功能分析:)逻辑功能分析:当当X=1=1时,按照减时,按照减1 1规律规律从从1001001010010010循环变化,循环变化,并每当转换为并每当转换为0000状态(最小数)时,状态(最小数)时,输出输出Z=1=1。该电路一共有

9、该电路一共有3 3个状态个状态0000、0101、1010。当当X=0=0时,按照加时,按照加1 1规律从规律从0001100000011000循环变化,循环变化,并每当转换为并每当转换为1010状态(最大数)时,输出状态(最大数)时,输出Z=1=1。所以该电路是一个可控的所以该电路是一个可控的3 3进制计数器。进制计数器。CP1 1=Q0 0 (当(当FF0 0的的Q0 0由由0101时,时,Q1 1才可能改变状态。)才可能改变状态。)异步时序逻辑电路的分析举例异步时序逻辑电路的分析举例例例试分析如图所示的时序逻辑电路试分析如图所示的时序逻辑电路该电路为异步时序逻辑电路。具体分析如下:该电路

10、为异步时序逻辑电路。具体分析如下:(1 1)写出各逻辑方程式。)写出各逻辑方程式。时钟方程:时钟方程:CP0 0=CP (时钟脉冲源的上升沿触发。时钟脉冲源的上升沿触发。)输出方程:输出方程:各触发器的驱动方程:各触发器的驱动方程:(3)作状态转换表。作状态转换表。(2)将各驱动方程代入)将各驱动方程代入D触发器的特性方程,得各触发器的次态方程:触发器的特性方程,得各触发器的次态方程:(CP由由01时此式有效)时此式有效)(Q0由由01时此式有效)时此式有效)现现 态态次次 态态输输 出出时钟脉冲时钟脉冲Q1 n Q0 n Q1 n+1 Q0 n+1 ZCP1 CP0 CP1 1=Q0 0时钟

11、方程:时钟方程:CP0 0=CP0010001111010101001000(4)作状态转换图、时序图。)作状态转换图、时序图。(5 5)逻辑功能分析)逻辑功能分析该该电电路路一一共共有有4个个状状态态00、01、10、11,在在CP作作用用下下,按按照照减减1规规律律循循环环变变化化,所所以以是是一一个个4进进制制减减法法计计数器数器,Z是借位信号。是借位信号。6-3 若干常用的时序逻辑电路若干常用的时序逻辑电路6-3-16-3-1 寄存器和移位寄存器寄存器和移位寄存器6-3-2 计数器计数器6-3-36-3-3 顺序脉冲发生器顺序脉冲发生器6.3.16.3.1 寄存器和移位寄存器寄存器和移

12、位寄存器 74LS373八八D透明锁存器透明锁存器(3S、公共输出控制、公共使能)公共输出控制、公共使能)1寄存器寄存器(也叫锁存器)(也叫锁存器)(1)寄存器:)寄存器:能够存贮一组二值代码的电路;能够存贮一组二值代码的电路;(2)组成:)组成:触发器堆触发器堆+控制电路控制电路 74LS75四四D透明锁存器透明锁存器(由同步(由同步RS_FF构成的构成的D_FF)内部每两个内部每两个D-FF共用一个共用一个CP,(,(CPA、CPB)CP=1,Q跟随跟随D;CP=0,Q保持为下降沿瞬时的保持为下降沿瞬时的D值。值。(用四块D触发器构成)若输入:若输入:1 0 0 11 0 0 10 0 0

13、 00 0 0 0电路结构电路结构 存入:存入:1 0 0 1 1 0 0 1 工作原理工作原理存数指令CPQ0Q1Q2Q3D0D1D2D31DR1DR1DR1DRRD集成数码寄存器集成数码寄存器74LSl75:7474LS175175的功能的功能:RD是异步清零控端。是异步清零控端。D0D3是并行数据输入端,是并行数据输入端,CP为时钟脉冲端。为时钟脉冲端。Q0Q3是并行数据输出端。是并行数据输出端。0111RD清零清零10CP时钟时钟d0d1d2d3D0D1D2D3输输入入0000d0d1d2d3保保持持保保持持Q0Q1Q2Q3输输出出工作模式工作模式异步清零异步清零数码寄存数码寄存数据保

14、持数据保持数据保持数据保持7474LS175175的功能表的功能表二、二、移位寄存器移位寄存器定义:定义:具有移位功能的寄存器具有移位功能的寄存器移位功能:移位功能:寄存器里存贮的代码能在寄存器里存贮的代码能在CP的作用下依次左移或右移。的作用下依次左移或右移。作用:作用:存贮代码;存贮代码;串并转换;串并转换;并串转换;并串转换;数值运算及数据数值运算及数据处理。处理。用D触发器构成F0:Q0n+1=D D0=D F1:D1=Q0n Q1n+1=Q0nF2:D2=Q1n Q2n+1=D2=Q1n F3:D3=Q2n Q3n+1=D3=Q2n 串行输入CP 移位指令D0DDDDQ0Q1Q2Q3

15、串行输出 设输入数据为1101CPD012345678 1 1 0 1Q0Q1Q2Q3 1 1 0 1 1 1 0 1 1 1 0 1 1 1 0 1 注意:Qn+1的状态取决于CP之前的D的状态,在四个脉冲的作用下1101存入寄存器中,此时串行输出端Q3输出为第一个1。在Q3Q2Q1Q0输出端得到并行数据1101。若在Q3端全部输出1101四个数据,需要几个移位脉冲?(七个!)故该电路称为串行输入、并行输出、串行输出单向移位寄存器。左移寄存器F3F2F1F0 左移、右移是相对的1、左左移位移位电路组成电路组成(从Q0 向Q3移)Q0端是串行输出端;DIL是左移数据输入端;1DC1FFDQ31

16、DC1FFCQ21DC1FFBQ11DC1FFAQ0CPDILQ0Q1Q2Q3 端是并行输出端。2 2、工作过程、工作过程例如:要移入D0D1D2D3左移状态表Q0 Q1 Q2 Q3 DIL CP顺序X X X D0 X X D0 D1X D0 D1 D2D0 D1 D2 D3 4个CP过后,D0D1D2D3移入D01D12D23D34双向移位寄存器即可左移又可右移的寄存器。它是在单向移位寄存器的基础上加左、右移控制电路(门电路构成),构成既能右移、又能左移的双向移位寄存器。以4位双向移位寄存器定型产品74LS194为例1.逻辑符号图集成移位寄存器集成移位寄存器74LS19474LS194功能

17、表:功能表:RD S1 S0 工作状态 0 x x 清零 1 0 0 保持 1 0 1 右移(向QD移)1 1 1 并行输入1 1 0 左移(向QA移)10 111 1 11 1 11 1 1 11234问题:4个CP后,为什么向右移入了4个1?向右移举例:1要想只将一个1右移,操作过程见上:1 0 1 0 0 1 0 0 0 10Q0 Q1 Q2 Q3CPS1S074LS194RDD0 D1 D2 D3DIRDIL1234移位寄存器移位寄存器的主要应用:的主要应用:(1)串)串并转换并转换改错!改错!(2)并)并串转换串转换 置数是同步置数置数是同步置数,置数前置数前Q1Q4必须为必须为0

18、只在第一次置数前需异步清零,因为移位时有补零操作。只在第一次置数前需异步清零,因为移位时有补零操作。(3)脉冲节拍延时)脉冲节拍延时 串入串入串出时:串出时:延迟时间为:延迟时间为:(4)计数分频电路、序列信号发生器)计数分频电路、序列信号发生器74LS194单时钟双向移位寄存器单时钟双向移位寄存器异步清零异步清零保持保持置数置数右移右移右移右移左移左移左移左移保持保持M1M0功能00保持01右移10左移11置数6-3-26-3-2 计数器计数器计数器同步异步二进制十进制任意进制二进制十进制任意进制加法,减法,可逆加法,减法,可逆加法计数器:随cp的输入,电路递增计数减法计数器:随cp的输入,

19、电路递减计数可逆计数器:随cp的输入,电路可增可减计数1同步二进制计数器同步二进制计数器以加法计数器为例:以加法计数器为例:根据二进制加法规则:根据二进制加法规则:结论:结论:从低位到高位出现的第一个从低位到高位出现的第一个0之后的各位均不变;之后的各位均不变;出现出现0的位以及至次最低位全部改变状态;的位以及至次最低位全部改变状态;最低位必定要改变状态;最低位必定要改变状态;最低位之外的各位最低位之外的各位Qi状态的改变可用状态的改变可用Qi-1至至QO位相与来控制;位相与来控制;如果用如果用T-FF构成时,显然构成时,显然Ti=Qi-1Qi-2QO;如果用如果用JK-FF构成时,则构成时,

20、则Ji=Ki=Qi-1Qi-2.QO。1011011+11011100必变!必变!变!变!均不变!均不变!一、同步计数器一、同步计数器1、同步二进制加法计数器CPT0=1Q0T1Q1T2Q2CQ3T3&C11NC11NC11NC11N&T0=1;T1=Q0;T2=Q1Q0;T3=Q2Q1Q0C=Q3Q2Q1Q0(2)驱动方程驱动方程(1)(1)输出方程输出方程(四块T触发器组成)已知:已知:T0=1T1=Q0T2=Q1Q0T3=Q2Q1Q0C=Q3Q2Q1Q0(3)时序波形图时序波形图Q0tQ1tQ2tQ3t1 2 3 4 5 67 8 910 11 1213 14 1516CPtCt(4)状

21、态转换情况状态转换情况(在波形图上读)000000010010001101001110111110000计数脉计数脉冲序号冲序号电电 路路 状状 态态等效十等效十进制数进制数Q3 Q2 Q1 Q00123456789101112131415160 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 10 0 0 001234567891011121314150状态转换表状态转换表说明:说明:从从状状态态转转移移表表可可见见,Q1Q

22、2Q3Q4输输出出脉脉冲冲的的频频率依次为:率依次为:因此计数器有分频功能,有时也叫分频器。因此计数器有分频功能,有时也叫分频器。电电路路每每16个个CP一一个个循循环环,产产生生一一个个进进位位脉脉冲冲Z,因因此此也也叫叫十十六六进制计数器(或进制计数器(或4位二进制计数器)。位二进制计数器)。实实际际电电路路芯芯片片中中,往往往往增增加加一一些些控控制制功功能能,以以增增加加电电路路使使用用的的灵活性和扩展性,如置数、保持、清零等。灵活性和扩展性,如置数、保持、清零等。(5)分析功能分析功能这是十六进制计数器(也是四位二进制加法计数器)计数容量为24-1=152、集成四位二进制加法计数器、

23、集成四位二进制加法计数器74LS16174LS161Q 1、Q 2、Q 3 端分别为四分频、八分频和十六分频端。Q0端为二分频端。则,Q0端输出脉冲的频率为1/2f 若CP的频率为f 计数器的另一个作用是分频:同理:Q3 Q2 Q1 Q0CCPEPET74LS161RDLD D3 D2 D1 D0逻辑符号逻辑符号CP:时钟输入端EP、ET:功能转换端C:进位输出端RD:复位端LD:预置数的控制端D3D2D1D0:预置数的输入端 4 4位二进制同步加法计数器位二进制同步加法计数器7416174161 异步清零。异步清零。7416174161具有以下功能:具有以下功能:计数。计数。同步并行预置数。

24、同步并行预置数。RCO为进位输出端。为进位输出端。保持。保持。01111RD清零清零0111LD预置预置0011EP ET使能使能CP时钟时钟d3d2d1d0D3D2D1D0预置数据输入预置数据输入0000d3d2d1d0保保持持保保持持计计数数Q3Q2Q1Q0输出输出工作模式工作模式异步清零异步清零同步置数同步置数数据保持数据保持数据保持数据保持加法计数加法计数7416174161的功能表的功能表功能表:3、同步二进制减法计数器、同步二进制减法计数器10 0 0 0RD 端 LD 端功能的区别:0工作特点:随CP的不断输入,电路递减计数。(略)CPRDLDEP ET工作状态0XXX X置零0

25、1X X预置数X110 1保持X11X 0保持(但C=0)111 1计数Q3 Q2 Q1 Q0CCPEPET74LS161RDLD D3 D2 D1 D0X X X X01Q3 Q2 Q1 Q0CCPEPET74LS161RDLD D3 D2 D1 D0X X X XX X X X0例如:0 0 1 10 0 1 14、四位二进制可逆计数器、四位二进制可逆计数器74LS19174LS191逻辑符号逻辑符号功能表功能表1X1X保持0XX预置数010加法计数011减法计数CPISLDU/D 工作状态XQ3 Q2 Q1 Q0C/BCPI74LS191LD D3 D2 D1 D0CPOU/DSS=0,

26、C/B=1时,CPO=CPI4位二进制同步可逆计数器位二进制同步可逆计数器741910111LD预置预置100EN使能使能01D/U加加/减控制减控制CP时钟时钟d3d2d1d0D3D2D1D0预置数据输入预置数据输入d3d2d1d0保保持持计计数数计计数数Q3Q2Q1Q0输输出出工作模式工作模式异步置数异步置数数据保持数据保持加法计数加法计数减法计数减法计数74191的功能表的功能表(二)二)同步十进制计数器同步十进制计数器集成同步十进制加法计数器有74LS160。电路框图、功能表 和74LS161相同,但输出只有00001001十个稳定状态。集成同步十进制可逆计数器有74LS190。电路框

27、图、功能表和74LS191相同。进位输出函数C=Q3Q0状态转换图见下页74LS16074LS160的状态转换图的状态转换图(Q3Q2Q1Q0)0000000100100011010001010110011110001001101010111110111111001101C=Q3Q0=1tpdtpd二、异步计数器二、异步计数器1、异步二进制计数器、异步二进制计数器v构成(以三位为例)v时序图v计数状态 (在时序图上读)2、异步十进制、异步十进制计数器(略)计数器(略)1JC11K1JC11K1JC11K1FF0FF1FF2CP0CP1CP2Q0Q1Q20CP0t0Q0t0Q1t0Q2t1234

28、5678(CP1)(CP2)tpdv 功能说明(表1)3、异步二、异步二五五十进制计数十进制计数74LS29074LS290CP输入端进制输出状态分频端CP0Q0二0、1Q0为二分频端CP1Q3Q2Q1五000100Q3为五分频端CP1Q3Q2Q1Q0十00001001Q3为十分频端且Q0与CP1相连输出端&S91S92&R01R02CP1CP0Q0Q1Q2Q3S1JC11KR11JC11KR11JC11KR&FF0FF1FF2FF3S1JC11KRv 功能说明异步置0端RO1 RO2异步置9端S91 S92功能说明1 1X 01 10 1置 00 X1 1X 01 1置 90 00 0计 数

29、(表2)v 逻辑符号CP0CP1Q3Q2Q1Q0R01R02S92S9174LS290v 用作十进制时的连线CP0CP1Q3Q2Q1Q0R01R02S92S9174LS290三、任意进制计数器的构成方法三、任意进制计数器的构成方法用 N 进制计数器,构成 M 进制计数器(一)一)MN 的情况的情况(用多片N进制计数器组合构成)1 1、连接线路、连接线路Q3 Q2 Q1 Q0CCPEPET74LS160RDLDD3 D2 D1 D0Q3 Q2 Q1 Q0CCPEPET74LS160RDLDD3 D2 D1 D0CP1Y(1)(2)例例2 2试用两片74LS160构成百进制计数器。2 2、连接方式

30、与特点、连接方式与特点1)异步CP方式。低位的进位信号是高位的时钟。2)两片的EP、ET恒为1,都处于计数状态。3 3、进制、进制 MM=1010=100高位的C 端是此计数器的进位输出端,进位信号为Y=1。高位、低位各自能输出10个稳定状态:1 1、连接线路、连接线路为何用非门?Q3 Q2 Q1 Q0CCPEPET74LS160RDLDD3 D2 D1 D0CP1Y(1)(2)Q3 Q2 Q1 Q0CCPEPET74LS160RDLDD3 D2 D1 D01例例2两片之间用非门连接的原理两片之间用非门连接的原理74LS160是CP作用的计数器,若片间连接不用非门,则:CP910Q0Q1Q2Q

31、3低位C1Q0高位1110010000第9个CP过后,电路输出(1,1001),出错。CP910Q0Q1Q2Q3Q0110010000C1低位若用非门连接,则正常输出。0高位例例3 3电路如图,试分析电路为几进制计数器,两片之间是几进制。解:解:1 1、连接方式与特点、连接方式与特点异步CP方式。(1)片Y端的进位信号是(2)片的时钟。(1)片是10进制,当两片计数到0001、0010状态时,电路整体清零。Y 端是此计数器的进位输出端,进位信号为Y=0。CP1Y(1)(2)Q3 Q2 Q1 Q0CCPEPET74LS161RDLDD3 D2 D1 D0Q3 Q2 Q1 Q0CCPEPET74L

32、S161RDLDD3 D2 D1 D0&11Y(即:两片之间是10进制)。0 0 0 10 0 1 00 0 0 00 0 0 02 2、计数状态表计数状态表(2)片 (1)片CP顺序 Q3Q2Q1Q0 Q3Q2Q1Q0 状态数190 0 0 00 0 0 0100 0 0 00 0 0 1110 0 0 10 0 0 1120 0 1 00 0 0 112101112130 0 0 0 0 0 0 00 0 0 0 0 0 0 0 00 0 0 11 0 0 1暂态此例能否用整体置数法?问题:3 3、进制、进制 MM=10+2=12。RD=0例例4 4电路如图,试分析电路为几进制计数器,两片

33、之间是几进制。解:(1)片的进位信号控制(2)片的使能端,Y 端是此计数器的进位输出端,进位信号为Y=0。两片之间是16进制。当两片计数到0100、0010状态时,Q3 Q2 Q1 Q0CCPETEP74LS161RDLDD3 D2 D1 D0Q3 Q2 Q1 Q0CCPETEP74LS161RDLD D3 D2 D1 D0CP1(1)(2)11Y&同步CP方式。(2)片仅在 ET=EP=C1=1 的时间内计数。1 1、连接方式与特点、连接方式与特点0 1 0 00 0 1 00 0 0 00 0 0 0电路总体置入0。(2)片 (1)片CP顺序 Q3Q2Q1Q0 Q3Q2Q1Q0 状态数11

34、60 0 0 00 0 0 112170 0 0 0 0 0 0 00 0 0 0 0 0 0 0 00 0 0 10 0 0 0 150 0 0 0161 1 1 1310 0 0 1321 1 1 1 320 0 1 0330 0 0 0 470 0 1 0481 1 1 1 480 0 1 1490 0 0 0 630 0 1 1641 1 1 1 640 1 0 0650 0 0 0 67650 1 0 00 0 0 1 660 1 0 00 0 1 0 3 3、进制、进制 M:M=164+3=672 2、计数状态表、计数状态表6667LD=0四、移位寄存器型计数器四、移位寄存器型计数

35、器一般结构:一般结构:1DC1FF1Q11DC1FF2Q21DC1FF3Q31DC1FF4Q4反 馈 逻 辑 电 路D1CP反馈函数:反馈函数:D1=F(Q1,Q2,Qn)反馈函数不同,电路循环输出的状态也就不同。(一)环形计数器一)环形计数器1 1、电路结构、电路结构2 2、反馈函数、反馈函数D1=Qn 1DC1FF1Q11DC1FF2Q21DC1FF3Q31DC1FF4Q4D1CP3 3、状态转换图、状态转换图0000111110100101(a)(b)(c)(d)(e)(Q1Q2Q3Q4)若取(a)为有效循环,则(b)(e)就为无效循环。(a)的循环长度为 n n=4,(n n是触发器的

36、位数)从状态转换图知,此电路不能自启动。接入适当的反馈逻辑电路,可以将电路修改为能够自启动的电路(从略)。100001000001001011000110100100111110011111011011(一)扭环形计数器一)扭环形计数器1 1、电路结构、电路结构2 2、反馈函数、反馈函数3 3、状态转换图、状态转换图若取(a)为有效循环,则(b)为无效循环。(a)的循环长度为2 2n n。在(a)循环状态中,由于电路每次状态转换时,只有一位触发器改变状态,因而将电路状态译码时不会产生竞争冒险现象。此电路不能自启动。接入适当的反馈逻辑电路,可以将电路修改为能够自启动的电路(从略)。D1=Qn(a

37、)(b)1DC1FF1Q11DC1FF2Q21DC1FF3Q31DC1FF4Q4D1CP0000100000011110110011110011011110101101010010110110010110010010(三)最大长度移位寄存器型计数器(三)最大长度移位寄存器型计数器1 1、最大长度、最大长度循环长度为2n-1(除0以外)2 2、一般电路结构、一般电路结构3 3、举例、举例(以 n=3 为例)1)电路结构1DC1FF1Q11DC1FF2Q21DC1FFnQnD1CP反馈逻辑=1=1=11DC1FF1Q11DC1FF2Q21DC1FF3Q3D1CP3)状态转换图(Q1Q2Q3)001

38、1000101010111111100004)此电路不能自启动。接入适当的反馈逻辑电路,能够使电路自启动(略)。D1=Q2Q32)反馈函数4 4、常用、常用3 3 1212位最大长度移位寄存器式计数器的反馈函数位最大长度移位寄存器式计数器的反馈函数5 5、伪随机序列发生器、伪随机序列发生器最大长度移位寄存器式计数器又称为m序列发生器或伪随机序列伪随机序列发生器,发生器,它除了作计数器以外,还可用于产生具有固定循环规律的脉冲序列。寄存器的位数反馈函数D1=Q2Q33456789101112D1=Q3Q4D1=Q3Q5D1=Q5Q6D1=Q6Q7D1=Q2Q3Q4Q8D1=Q5Q8D1=Q7Q10

39、D1=Q9Q11D1=Q6Q8Q11Q13在最大长度移位寄存器式计数器的基础上,经过简单的修改可以得到计数长度小于2n-1的大部分计数器。*6-3-36-3-3 顺序脉冲发生器顺序脉冲发生器 (选修)顺序脉冲发生器可以产生顺序脉冲,也称节拍脉冲。顺序脉冲发生器的组成:顺序脉冲发生器的组成:一、用环形计数器组成一、用环形计数器组成当环形计数器工作在每个状态中只有一个1的循环状态时,它就是顺序脉冲发生器。1DC1FF1Q11DC1FF2Q21DC1FF3Q31DC1FF4Q4D1CP11 1、组成举例、组成举例tCPtQ1tQ2tQ3tQ4123453 3、电路特点、电路特点结构简单,不必附加译码

40、电路。但使用的触发器数目较多,4个顺序脉冲用了4个触发器,利用率较低。2 2、电压波形电压波形(循环输出4个顺序脉冲)二、用计数器和译码器组成二、用计数器和译码器组成&Y0Y1Y2Y3Q01DC1Q11DC1CPtCPtY0tY1tY2tY312344 4、工作特点、工作特点由于异步计数器中两个触发器的翻转有先有后,因此当两个触发器同时改变状态(从01 10)时,电路可能产生竞争冒险现象,使顺序脉冲中出现尖峰脉冲。2 2、工作一览表、工作一览表1 1、组成举例、组成举例3 3、输出波形、输出波形Y0Y300 01 0 0 010 10 1 0 021 00 0 1 031 10 0 0 1Y1

41、Y2Q1 Q0CPQ3 Q2 Q1 Q0CPETEPCD3 D2 D1 D0LDRDCP74LS1611A2 A1 A0S1S2S3CPY7Y6Y5Y4Y3Y2Y1Y074LS138三、用中规模集成电路组成三、用中规模集成电路组成1 1、组成举例、组成举例2 2、波形分析波形分析(波形图略)为了克服译码器可能存在的竞争冒险现象,将 CP 接到74LS138的S1端,作为选通脉冲。3 3、电路特点、电路特点4 4、电路改进、电路改进(电路图略)用4位扭环形计数器,并取其(a)所示的有效循环,代替74LS161组成上述电路,可以从根本上消除竞争冒险现象。此电路可输出8个负向顺序脉冲。74LS161

42、中的触发器在CP上升沿翻转,74LS138在CP下降沿选通译码,时间正好错开。6-46-4 时序逻辑电路的设计方法时序逻辑电路的设计方法6-4-1同步任意进制计数器的设计同步任意进制计数器的设计要求:1)用小规模集成电路(触发器和门电路)设计。2)计数器应能自启动3)电路应力求简单例:设计一个 七进制计数器,要求它的状态转换图如下001100010101011111110Q1Q2Q3/C/0/0/0/0/0/0/1循环输出m1、m4、m2、m5、m6、m7、m3、七个状态。1、填总的、填总的次态次态/输出输出卡洛图卡洛图XXX/X100/0 001/1101/0010/0110/0 011/0

43、111/02、分解卡洛图、分解卡洛图X 1 0 10 1 0 1X 0 0 0 1 1 1 1X 0 1 1 0 0 1 1解:解:循环输出 m1、m4、m2、m5、m6、m7、m3、0 0 0 1 1 1 1 0Q2Q3Q10100 01 11 10Q1Q2Q3Q2n+10100 01 11 10Q1Q2Q3Q1n+10100 01 11 10Q1Q2Q3Q3n+101X 0 1 0 0 0 0 000 01 11 10Q1Q2Q3C01Q1Q2Q3/CC=1如果按常规合并最小项,则:如果将XXX定义为有效循环中的任意一个状态,例如 将XXX定义为010,电路将能自启动。3、为了自启动,合理

44、确定无关项的次态、为了自启动,合理确定无关项的次态因为它表明000的次态仍为000。电路将不能自启动。此时,最小项的合并如图。X 1 0 10 1 0 1X 0 0 0 1 1 1 1X 0 1 1 0 0 1 100 01 11 10Q1Q2Q3Q2n+10100 01 11 10Q1Q2Q3Q1n+10100 01 11 10Q1Q2Q3Q3n+101X 0 1 0 0 0 0 000 01 11 10Q1Q2Q3C014、写状态方程、写状态方程和输出方程和输出方程Q1n+1=Q2 Q3Q3n+1=Q2Q2n+1=Q1+Q2Q35、确定触发器的类型,写驱动方程、确定触发器的类型,写驱动方程

45、若用JK触发器组成这个电路,就将状态方程化成JK触发器特性方程的标准形式:Q2n+1=Q1(Q2+Q2)+Q2Q3=(Q1+Q3)Q2+Q1Q2Q3n+1=Q2(Q3+Q3)=Q2Q3+Q2Q3J1=Q2 Q3;K1=Q2 Q3J2=Q1Q3 ;K2=Q1J3=Q2 ;K3=Q2Qn+1=J Qn +K QnQ1n+1=Q2 Q3(Q1+Q1)=(Q2 Q3)Q1+(Q2 Q3)Q1驱动方程驱动方程C=Q1Q2Q36、根据驱动方程和输出方程画逻辑图、根据驱动方程和输出方程画逻辑图7、画状态转换图画状态转换图 000Q1Q2Q3/C001100010101011111110/0/0/0/0/0/

46、0/1&Q3Q21JC11K1JC11K1JC11K&CCP=11Qn+1=DQ1n+1=Q2 Q3Q3n+1=Q2Q2n+1=Q1+Q2Q3驱动方程为则,D1=Q2Q3D2=Q1+Q2Q3D3=Q2根据驱动方程和输出方程画逻辑图。根据驱动方程和输出方程画逻辑图。已知状态方程若用若用D触发器组成这个电路触发器组成这个电路:将状态方程化成D触发器特性方程的标准形式:=1Q1Q2Q31CP1DC11DC11DC16-4-2其它时序逻辑电路的设计其它时序逻辑电路的设计设计的一般步骤:设计的一般步骤:1、逻辑抽象:、逻辑抽象:得出电路的 状态转换图或状态转换表2、状态化简、状态化简3、状态分配、状态分

47、配4、选定触发器的类形、选定触发器的类形 求出电路的状态方程、驱动方程和输出方程。5、根据驱动方程和输出、根据驱动方程和输出方程画出逻辑图方程画出逻辑图6、检查电路能否自启动。、检查电路能否自启动。例例:设计一个串行数据检测器,对它的要求是,连续输入3个或3个以上的 1 时,电路输出1,其它输入情况下,电路输出0。1、进行逻辑抽象、进行逻辑抽象令输入变量为X,输入后的状态为S:输入 X状态S没有输入1 以前输入一个1连续输入两个1S2连续输入三个1S3连续三个以上1S3S0S12、列状态转换表、列状态转换表X表示输入变量,Y表示输出变量,Sn表示现态,Sn+1表示次态 S0 S1 S2 S3S

48、nSn+1/yX3、状态化简、状态化简比较S2和S3发现,它们是等价等价状态,因此,可将上表中的S3用S2代替:S0 S1 S2 SnSn+1/yX 0 S0/0 S0/0 S0/0 1 S1/0 S2/0 S2/1 S0/0S1/0S0/0S2/0S0/0S3/1S0/0S3/1014、给状态编码、给状态编码1)确定触发器的位数2)编码v 给状态编码,将逻辑功能问题转化为时序问题v 再通过设计时序电路,实现所需逻辑功能可选:两位触发器的输出Q1Q0有00、01、10、11 四种状态,000110S0(编码)(状态)S1S2代表:由于状态数M=3 ,而21322最大n=2,所以,用两位触发器。

49、即将状态S用编码代替 S0 S1 S2 SnSn+1/yX 0 S0/0 S0/0 S0/0 1 S1/0 S2/0 S2/1 6、分解卡洛图,写状态方程、分解卡洛图,写状态方程Q1n+1=XQ1+XQ05、填、填次态次态/输出输出卡洛图卡洛图Q0n+1=XQ1Q0Y=XQ100/000/0XX/X00/001/0 10/0 XX/X 10/1 00 01 11 10XQ1Q0010 0 X 00 1 X 100 01 11 10Q1Q0Q1n+1X010 0 X 01 0 X 000 01 11 10Q1Q0Q0n+1X010 0 X 00 0 X 100 01 11 10Q1Q0XY01S

50、n+1/y卡诺图7、确定触发器类型,写驱动方程和输出方程。、确定触发器类型,写驱动方程和输出方程。用JK触发器,则状态方程化为:Q1n+1=(XQ0)Q1+XQ1驱动方程:J1=XQ0 ,K1=XJ0=XQ1 ,K0=1输出方程:Y=XQ18、根据驱动方程和输出方程画逻辑图、根据驱动方程和输出方程画逻辑图Q0n+1=(XQ1)Q0+1Q0Q1Q0&YCP11JC11K&1JC11K&X00011110Q1Q0X/Y1/00/01/01/11/10/00/00/0状态转换图表明,电路可以自启动。9、电路的状态转换图、电路的状态转换图本章小结本章小结1 1时时序序逻逻辑辑电电路路的的特特点点;任任

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 生活休闲 > 生活常识

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com