详细设计说明书模板.pdf

上传人:深夜****等你... 文档编号:75953391 上传时间:2023-03-06 格式:PDF 页数:23 大小:1.11MB
返回 下载 相关 举报
详细设计说明书模板.pdf_第1页
第1页 / 共23页
详细设计说明书模板.pdf_第2页
第2页 / 共23页
点击查看更多>>
资源描述

《详细设计说明书模板.pdf》由会员分享,可在线阅读,更多相关《详细设计说明书模板.pdf(23页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、信 息 科 学 与 技 术 系 实 验 报 告 目 录 1 引言 1.1 编写目的 1.2 项目背景 1.3 定义 2 总体设计 2.1 对无线传感器系统的要求 2.2 系统组成及工作原理 2.2.1 系统组成 2.2.2 工作原理 3 详细设计 3.1 硬件设计 3.1.1 上位机系统 3.1.2 下位机系统 3.1.3 微处理器模块 3.1.4 温湿度传感器 SHT11 3.2 系统软件设计 3.2.1 编程思路及流程图 4 系统测试 4.1 系统测试步骤 4.2 系统测试结果 4.3 系统测试结果分析 1 引言 1.1 编写目的 ZigBee 技术具有低成本、低功耗、近距离、短时延、高容

2、量、高安全及免执照频段等优势,广泛应用于智能家庭、工业控制、自动抄表、医疗监护、传感器网络应用和电信应用等领域。智能家庭:现今家用电器已经随处可见了,如何将这些电器和电子设备联系起来,组成一个网络,甚至可以通过网关连接到 Internet,使得用户可以方便地在任何地方监控自己家里的情况?ZigBee 技术提供了家庭智能化的技术支持,在ZigBee 技术的支持下,家用电器可以组成一个无线局域网,省却了在家里布线的烦恼。工业控制:工厂环境当中有大量的传感器和控制器,可以利用 ZigBee 技术把它们连接成一个网络进行监控,加强作业管理,降低成本。自动抄表:现在在大多数地方还是使用人工的方式来逐家逐

3、户进行抄表,十分不方便。而 ZigBee 可以用于这个领域,利用传感器把表的读数转化为数字信号,通过 ZigBee 网络把读数直接发送到提供煤气或水电的公司。使用ZigBee 进行抄表还可以带来其它好处,比如煤气或水电公司可以直接把一些信息发送给用户,或者和节能相结合,当发现能源使用过快的时候可以自动降低使用速度。医疗监护:医疗工作中,时常要获得病人的生理指标、环境指标,可以通过放置传感器构成传感器网络,实时监测这些数据。由于是无线技术,传感器之间不需要有线连接,被监护的人也可以比较自由的行动,非常方便。传感器网络应用:传感器网络也是最近的一个研究热点,像货物跟踪、建筑物监测、环境保护等方面都

4、有很好的应用前景。传感器网络要求节点低成本、低功耗,并且能够自动组网、易于维护、可靠性高。ZigBee 在组网和低功耗方面的优势使得它成为传感器网络应用的一个很好的技术选择。此外,ZigBee技术也可以应用到汽车电子、农业生产和军事领域中。随着物联网技术的日渐兴起,ZigBee 技术将会扮演更为重要的角色。但是,物联网的全面普及将是一个十分漫长的过程,至少目前还在探索和实验阶段,距离实用还有很长的路要走。虽然前景一片大好,但是我们应该清楚认识到由于各方面的制约,ZigBee技术的大规模商业应用还有待时日,基于 ZigBee 技术的无线网络应用还远远说不上成熟,主要表现在:ZigBee 市场仍处

5、于起步探索阶段,终端产品和应用大多处于研发阶段,真正上市的少,且以家庭自动化为主;潜在应用多,但具有很大出货量的典型应用少,市场缺乏明确方向;使用点对多点星状拓扑的应用较多,体现ZigBee 优势的网状网络应用少;基于 IEEE 802.15.4 底层协议的应用多,而基于ZigBee 标准协议的应用少。无线传感网络是一种开创了新应用领域的新兴概念和技术。当前,传感技术、传感网络已经被认定为最重要的研究之一。无线传感器网络节点的稳定运行是整个网络可靠性的重要保障。低功耗无线传感模块研究具有极其重要的学习和研究价值,其功能的实现具有极其重要的理论和现实意义。首先,现有的众多研究中,将性能和低功耗相

6、结合的较少,有的只考虑低功耗而性能不高,有的性能高但是功耗太大。本文综合了性能和低功耗的共同需求,经过深入的分析和对芯片的数据比较,提出了低功耗无线传感模块的硬件设计思路。其次,增加无线传感模块的应用。无线传感模块应用已非常广泛,除去组成无线传感网络的应用外,无线传感技术还广泛的应用于环境监测,如车间温湿度、压力等;短距无线通信等。实现了无线传感模块的低功耗,其对电能的需求就会更小,应用的范围将会进一步的扩大。1.2 项目背景 无线传感模块是新兴的下一代无线传感网络节点,它是组成无线传感网络的基本部分。最早的代表性论述出现在二十世纪九十年代末,题为“传感器走向无线时代”。传感技术的发展经历了一

7、般传感器、智能传感器、无线传感器等几个阶段。一般传感器,是最早产生的传感器,只能实现数据采集;智能传感器则是在一般传感器的基础上将处理计算能力与传感器相结合,使得传感模块不但能够实现数据等信息采集,还能对所采集到的信息进行一定程度的计算和处理;无线传感器则是在智能传感器的基础上再集成无线功能模块,使得传感器不再是单独的感知模块,而是一个能够实现数据采集、处理,信息交换和控制的有机整体。为了实现随时随地与任何人或任何设备的互联互通,无线通信技术获得了蓬勃发展。在正交频分复用(OFDM)和多入多出(MIMO)等基础技术支持下,多种无线技术如蓝牙、Wi-Fi、WIMAX、超宽带和无线局域网获得了长足

8、发展。作为蓬勃发展的无线技术,近几年正是其大变革时期。随着几种重要基础技术的推广和实际应用,无线通信的速度也将得到大大提高。无线传感模块属于无线技术中较为底层的一个分支,由于越来越多的应用方案开始采用无线节点进行数据采集和通信。综合了传感器技术、嵌入式计算技术、现代网络及无线通信技术、分布式信息处理技术等的无线传感网络,是当前的热点研究领域。而无线传感网络节点的稳定运行是整个网络可靠性的重要保障,因此无线传感模块的设计,传感技术、传感网络已经被认定为最重要的研究之一。当前国内外出现了多种无线传感器网络节点的硬件平台。典型的节点包括 Mica 系列、Telos、IRIS 和 Imote2 等。各

9、平台的主要区别是采用了不同的处理器和无线通信模块。有些节点具有高性能但功耗较大,如Imote2 节点,不适用于能量受限的应用环境。其他一些节点,如 Telos、Mica 等,由于设计时间较早,其性能已经落后于当今的集成电路工业设计水平4。因为无线传感器网络节点一般采用电池供电,工作环境通常比较恶劣,而且数量大,更换非常困难,所以低功耗是无线传感器网络最重要的设计准则之一。IT P(美国再生能源办公室工业技术计划)在 2002 年发布的报告“21 世纪工业无线技术”第一页中引用了总统科技顾问的断言:无线传感器可将能源利用率提高10%,将能源损耗减少25%5。后来的研究,如 Intel(r)Mot

10、e 的研究项目则注重了三个方面的要求,包括低功耗操作、系统级集成和硬件的重新配置,希望做到平衡功耗与性能的矛盾,但目标的实现还需要一定的努力。M IT 发展的模块化平台对于具体的传感器有不同的硬件设计,他们的传感器的主要功能是数据收集,采用垂直连接器来使不同的处理层整合到一起,其目的是为了设计一个通用的系统来取代单一的硬件系统 7。随着电子技术、计算机技术以及集成技术的不断发展,传感技术也会得到不断的发展和完善。并且会有更多的结构新、功能强、耗能低的传感器用运于各种实际的无线网络当中,以高的精确度和良好的稳定性服务于更加广泛的领域。正是由于低功耗无线传感节点在如此广范围内的应用,使得它受到了来

11、自军事、工业和商业以及学术专家的极大关注。其发展方向必然是无线通信的网络化,即通过自组网的方式形成动态、自适应的无线传感网络。而无线传感网络(WSN)是当前在国际上备受关注的、涉及多学科高度交叉、知识高度集成的前沿热点研究领域。它综合了传感器技术、嵌入式计算技术、现代网络及无线通信技术、分布式信息处理技术等。我国迫切需要提升对此的认识程度,并尽快推动其发展。因此,以无线传感模块为基础,实现传感网络的无线互联将是一个必然的趋势。另外由于无线传感器网络节点的稳定运行是整个网络可靠性的重要保障。在不同的应用中,传感器网络节点的组成不尽相同。已有的节点,有的只考虑低功耗而性能不高,有的性能高但是功耗太

12、大。因此,无线传感模块的发展必然是趋向与低功耗的。即在保证所需要实现功能的基础上,尽量的实现整个模块的低功耗,甚至在不影响整体性能的情况下适当减少部分功能来实现降低功耗的目的。除开以上所讲两种发展趋势之外,无线传感模块的应用和发展还具有极大的发展空间和良好的发展方向。当前对无线传感模块的应用都是静止性的,就目前存在的无线传感网络(WSN),构成网络的各个节点都是被固定的安放在一个地方,要实现对整个环境的检测,就需要向环境中投放大量的无线传感节点。这样一来成本就会非常的高。若实现无线传感模块对信息的移动式采集,则在同一个环境内投放更少的节点,就能实现对环境的全面检测。正是由于当前能耗对无线传感模

13、块的影响,低功耗研究才上升为一个热点领域,不论是使用电源或者电池供电,在实现低功耗后,无线传感模块的发展趋势必然是自生能源式的。利用太阳能、振动能量、地热、风能等实现无线传感模块的电能供应对于全面提高无线传感模块的能力将会起到巨大的作用。最后,基于能力存储技术的发展,电池的容量越来越大,再加上低功耗的实现,无线传感模块的适用寿命不断增加将会成为一个绝对趋势。未来的无线传感模块必将是集稳定性与安全性、扩展性与灵活性、微型化与低成本等特点为一体的8。1.3 定义 无线传感模块:是指由处理器模块、无线模块、电源模块和传感模块组成的无线通信自治系统,它采用一定的频率和编码方法实现与其它模块的通信,属于

14、无线技术的一种。无线传感网络 WSN(Wireless Sensor Network):是由部署在监测区域内大量的具有信息采集、数据处理和无线通信能力的微小传感器节点通过无线电通信形成的一个多跳的自组织网络系统,其目的是协作地感知、采集和处理网络覆盖区域里被监测对象的信息,并发送给观测者3。PCB:是 Printed Circuit Board 的缩写,中文意为印刷电路板,是搭配电子零件之前的基板,被誉为“电子系统产品之母”或“3C 产业之基石”。ZigBee 一词来源于蜜蜂赖以生存的通信方式 ZigZag 形状的舞蹈,是一种低成本、低功耗的近距离无线组网通信技术。2 总体设计 2.1 对无线

15、传感器系统的要求 系统由温湿度传感器 SHT11、光照传感器 TSL2561,AT89S52 单片机,无线数据传输模块 PTR2000,PC 和电源模块组成。传感器节点通过自组织方式构成网络,将采集到的数据沿着其他节点逐跳进行传输,传输到显示模块进行数据显示。主要技术指标(1)通信与组网:负责监测环境信息的传感器节点自组织搭建无线网络,并向管理和基础服务层提供服务支持;(2)通信频段:2.4-2.4835Hz;(3)采用通信协议标准:ZigBee 协议标准;(4)借点可靠通信范围:200m;(5)传感器精度:温度 0.3(25时),湿度:2.0%RH(2080%RH),光照强度:1lx。2.2

16、 系统组成及工作原理 2.2.1 系统组成 系统由电源,上位机系统和下位机系统组成。电源包括LM7805,四节 5 号 AA电池和一个 10K 电阻。上位机包括无线收发模块 PTR2000,MAX232,PC。下位机包括无线收发芯片 PTR2000,AT89S52 单片机,温湿度传感器 SHT11,PTR2000 AT89S52 SHT11 电源模块 图 2.1 传感器节点系统框图 2.2.2 工作原理 多个无线传感器节点通过无线通信方式形成的一个多跳自组织网络,其中的节点将采集到的数据依照最近路线逐个传递到离监测中心最近的传感器节点,再从节点发送到监测中心。由检测中心的 PC 中 Labvi

17、ew8.6 软件开发出的监测界面显示数据曲线图。图 3-6 上位机显示界面 监 测 软 件 界 面图 系统硬件结构框图 3 详细设计 3.1 硬件设计 3.1.1 上位机系统 图 3.1 上位机系统框图 Fig.3.1 Block diagram of the host system 上位机采用 PTR2000,PTR2000 主要有以下几个特点3:该器件将接收和发射合接为一体;工作频率为国际通用的数传频段 433MHZ;采用 FSK 调制/解调,可直接进入数据输入/输出,抗干扰能力强,特别适合工业控制场合;采用 DDS(直接数据合成)+PLL 频率合成技术,因而频率稳定性极好;灵敏度高达10

18、5bBm;工作电压低(2.7V),功耗小,接收待机状态电流仅为 8A;具有两个频道,可满足需要多信道工作的场合;工作速率最高达 20kbit/s(也可在较抵速率下工作,如 9600bps);超小体积,约 40275mm;可直接与 MCU 的串口进行连接(如 8031),也可以通过 MAX232 与计算机接口,软件编程非常方便;标准的 DIR 引脚间距更适合于趼、嵌入式设备;由于采用了低发射功率、高接收灵敏的设计,因此使用时无需申请许可证,开阔地时的使用距离最远可达 1000 米。引脚排列及功能 PTR2000 模板的引脚排列如图 1 所示。各引脚的功能说明如下;VCC(1 脚);下输入端,电压

19、范围为 2.75.25V;CS(2 脚):频道选择端。CS=0 时,选择工作频道 1,即 433.92MHz;CS=1时选择工作频道 2,即 434.33 MHz DI(3 脚):数据输入端 DO(4 脚):数据输出端;PWR(5 脚):节能控制端。当 PWR=1 时,模块处于正常工作状态,PWR=0 时,模块处于待机微功耗状态;TXEN6 脚):发射/接收控制端。当 TXEN=1 时,模块为发射状态;当 TXEN=0时,模块被设置为接收状态。GND(7 脚):电源地 PTR2000 可与所有单片机(如 80C31、2051、68HC08、PIC、Z8 等)配合使用,可直接接单片机的串口或 I

20、/O口,也可与计算机串口进行通讯,此时需要在中间简单地接在一个RS232电平转换芯片,如MAX232等。3.1.2 下位机系统 下位机电路图 3.1.3 微处理器模块 AT89S52 是一种低功耗、高性能 CMOS 8 位微控制器,具有 8K 在系统可编程Flash 存储器。使用 Atmel 公司高密度非易失性存储器技术制造,与工业 80C51 产品指令和引脚完全兼容。片上 Flash 允许程序存储器在系统可编程,亦适于常规编程器。在单芯片上,拥有灵巧的 8 位 CPU 和在系统可编程 Flash,使得 AT89S52在众多嵌入式控制应用系统中得到广泛应用。主要性能:1、与 MCS-51 单片

21、机产品兼容;2、8K 字节在系统可编程 Flash 存储器;3、1000 次擦写周期;4、全静态操作:0Hz-33MHz;5、三级加密程序存储器;6、32 个可编程 I/O 口线;7、三个 16 位定时器/计数器;8、六个中断源;9、全双工 UART 串行通道;10、低功耗空闲和掉电模式;11、掉电后中断可唤醒;12、看门狗定时器;13、双数据指针;14、掉电标识符。引脚说明 AT89S52 是一种低功耗、高性能 CMOS8 位微控制器,具有 8K 在系统可编程 Flash 存储器。使用 Atmel 公司高密度非 易失性存储器技术制造,与工业 80C51 产品指令和引脚完 全兼容。片上 Fla

22、sh 允许程序存储器在系统可编程,亦适于 常规编程器。在单芯片上,拥有灵巧的 8 位 CPU 和在系统 可编程 Flash,使得 AT89S52 为众多嵌入式控制应用系统提 供高灵活、超有效的解决方案。AT89S52 具有以下标准功能:8k 字节 Flash,256 字节 RAM,32 位 I/O 口线,看门狗定时器,2 个数据指针,三个 16 位 定时器/计数器,一个 6 向量 2 级中断结构,全双工串行口,片内晶振及时钟电路。另外,AT89S52 可降至 0Hz 静态逻 辑操作,支持 2种软件可选择节电模式。空闲模式下,CPU 停止工作,允许 RAM、定时器/计数器、串口、中断继续工 作。

23、掉电保护方式下,RAM 内容被保存,振荡器被冻结,单片机一切工作停止,直到下一个中断或硬件复位为止。P0 口:P0 口是一个 8 位漏极开路的双向 I/O 口。作为输出口,每位能驱动 8 个TTL 逻 辑电平。对 P0 端口写“1”时,引脚用作高阻抗输入。当访问外部程序和数据存储器时,P0 口也被作为低 8 位地址/数据复用。在这种模式下,P0 不具有内部上拉电阻。在 flash 编程时,P0 口也用来接收指令字节;在程序校验时,输出指令字节。程序校验 时,需要外部上拉电阻。P1 口:P1 口是一个具有内部上拉电阻的 8 位双向 I/O 口,p1 输出缓冲器能驱动4 个 TTL 逻辑电平。对

24、P1 端口写“1”时,内部上拉电阻把端口拉高,此时可以作为输入 口使用。作为输入使用时,被外部拉低的引脚由于内部电阻的原因,将输出电流(IIL)。此外,P1.0 和 P1.1 分别作定时器/计数器 2 的外部计数输入(P1.0/T2)和定时器/计数器 2 的触发输入(P1.1/T2EX)。在 flash 编程和校验时,P1 口接收低 8 位地址字节。引脚号第二功能:P1.0 T2(定时器/计数器 T2 的外部计数输入),时钟输出 P1.1 T2EX(定时器/计数器 T2 的捕捉/重载触发信号和方向控制)P1.5 MOSI(在系统编程用)P1.6 MISO(在系统编程用)P1.7 SCK(在系统

25、编程用)P2 口:P2 口是一个具有内部上拉电阻的 8 位双向 I/O 口,P2 输出缓冲器能驱动 4 个 TTL 逻辑电平。对 P2 端口写“1”时,内部上拉电阻把端口拉高,此时可以作为输入 口使用。作为输入使用时,被外部拉低的引脚由于内部电阻的原因,将输出电流(IIL)。在访问外部程序存储器或用 16 位地址读取外部数据存储器(例如执行 MOVX DPTR)时,P2 口送出高八位地址。在这种应用中,P2 口使用很强的内部上拉发送 1。在使用 8 位地址(如 MOVX RI)访问外部数据存储器时,P2 口输出 P2 锁存器的内容。在 flash 编程和校验时,P2 口也接收高 8 位地址字节

26、和一些控制信号。P3 口:P3 口是一个具有内部上拉电阻的 8 位双向 I/O 口,p3 输出缓冲器能驱动4 个 TTL 逻辑电平。对 P3 端口写“1”时,内部上拉电阻把端口拉高,此时可以作为输入 口使用。作为输入使用时,被外部拉低的引脚由于内部电阻的原因,将输出电流(IIL)。P3 口亦作为 AT89S52 特殊功能(第二功能)使用,如下表所示。在 flash 编程和校验时,P3 口也接收一些控制信号。端口引脚 第二功能:P3.0 RXD(串行输入口)P3.1 TXD(串行输出口)P3.2 INTO(外中断 0)P3.3 INT1(外中断 1)P3.4 TO(定时/计数器 0)P3.5 T

27、1(定时/计数器 1)P3.6 WR(外部数据存储器写选通)P3.7 RD(外部数据存储器读选通)此外,P3 口还接收一些用于 FLASH 闪存编程和程序校验的控制信号。RST:复位输入。当振荡器工作时,RST 引脚出现两个机器周期以上高电平将是单片机复位。ALE/PROG:当访问外部程序存储器或数据存储器时,ALE(地址锁存允许)输出脉冲用于锁存地址的低8 位字节。一般情况下,ALE 仍以时钟振荡频率的1/6 输出固定的脉冲信号,因此它可对外输出时钟或用于定时目的。要注意的是:每当访问外部数据存储器时将跳过一个ALE 脉冲。对 FLASH存储器编程期间,该引脚还用于输入编程脉冲(PROG)。

28、如有必要,可通过对特殊功能寄存器(SFR)区中的 8EH 单元的 D0 位置位,可禁止 ALE 操作。该位置位后,只有一条 MOVX 和 MOVC 指令才能将 ALE 激活。此外,该引脚会被微弱拉高,单片机执行外部程序时,应设置 ALE 禁止位无效。PSEN:程序储存允许(PSEN)输出是外部程序存储器的读选通信号,当 AT89S52 由外部程序存储器取指令(或数据)时,每个机器周期两次 PSEN 有效,即输出两个脉冲,在此期间,当访问外部数据存储器,将跳过两次 PSEN 信号。EA/VPP:外部访问允许,欲使 CPU 仅访问外部程序存储器(地址为 0000H-FFFFH),EA 端必须保持低

29、电平(接地)。需注意的是:如果加密位LB1被编程,复位时内部会锁存 EA端状态。如EA端为高电平(接 Vcc 端),CPU 则执行内部程序存储器的指令。FLASH 存储器编程时,该引脚加上+12V 的编程允许电源 Vpp,当然这必须是该器件是使用 12V 编程电压 Vpp。XTAL1:振荡器反相放大器和内部时钟发生电路的输入端。XTAL2:振荡器反相放大器的输出端。3.1.4 温湿度传感器 SHT11 1.SHT11 是瑞士 Scnsirion 公司推出的一款数字温湿度传感器芯片。该芯片广泛应用于暖通空调、汽车、消费电子、自动控制等领域。共主要特点如下:(1)高度集成,将温度感测、湿度感测、信

30、号变换、AD 转换和加热器等功能集成到一个芯片上;(2)提供二线数字串行接口 SCK 和 DATA,接口简单,支持 CRC 传输校验,传输可靠性高;(3)测量精度可编程调节,内置 AD 转换器(分辨率为 812 位,可以通过对芯片内部寄存器编程米选择);(4)测量精确度高,由于同时集成温湿度传感器,可以提供温度补偿的湿度测量值和高质量的露点计算功能;(5)封装尺寸超小(7.62 mm5.08mm2.5 mm),测量和通信结束后,自动转入低功耗模式;(6)高可靠性,采用 CMOSens 工艺,测量时可将感测头完全浸于水中。2 SHT11 的引脚功能 SHT11 温湿度传感器采用 SMD(LCC)

31、表面贴片封装形式,接口非常简单,引脚名称及排列顺序如图 1 所示。各引脚的功能如下:(1)脚 1 和 4-信号地和电源,其工作电压范围是 2.45.5 V;(2)脚 2 和脚 3-二线串行数字接口,其中 DA-TA 为数据线,SCK 为时钟线;(3)脚 58-未连接。3 SHT11 的内部结构和工作原理 温湿度传感器 SHT11 将温度感测、湿度感测、信号变换、AD 转换和加热器等功能集成到一个芯片上。该芯片包括一个电容性聚合体湿度敏感元件和一个用能隙材料制成的温度敏感元件。这两个敏感元件分别将湿度和温度转换成电信号,该电信号首先进入微弱信号放大器进行放大;然后进入一个 14 位的 AD 转换

32、器;最后经过二线串行数字接口输出数字信号。SHT11 在出厂前,都会在恒湿或恒温环境巾进行校准,校准系数存储在校准寄存器中;在测量过程中,校准系数会自动校准来自传感器的信号。此外,SHT11 内部还集成了一个加热元件,加热元件接通后可以将 SHT11 的温度升高 5左右,同时功耗也会有所增加。此功能主要为了比较加热前后的温度和湿度值,可以综合验证两个传感器元件的性能。在高湿(95RH)环境中,加热传感器可预防传感器结露,同时缩短响应时间,提高精度。加热后 SHT11 温度升高、相对湿度降低,较加热前,测量值会略有差异。微处理器是通过二线串行数字接口与 SHT11 进行通信的。通信协议与通用的

33、I2C 总线协议是不兼容的,因此需要用通用微处理器 IO 口模拟该通信时序。微处理器对 SHT11 的控制是通过 5 个 5 位命令代码来实现的。4 SHT11 应用设计 微处理器采用二线串行数字接口和温湿度传感器芯片 SHT11 进行通信,所以硬件接门设计非常简单;然而,通信协议是芯片厂家自己定义的,所以在软件设计中,需要用微处理器通用 IO 口模拟通信协议。5SHT11 硬件设计 SHT11 通过二线数字串行接口来访问,所以硬件接口电路非常简单。需要注意的地方是:DATA 数据线需要外接上拉电阻,时钟线 SCK 用于微处理器和 SHT11 之间通信同步,由于接口包含了完全静态逻辑,所以对

34、SCK 最低频率没有要求;当工作电压高于 4.5V 时,SCK 频率最高为 10 MHz,而当工作电压低于 4.5 V 时,SCK 最高频率则为 1 MHz。硬件连接如图 3 所示。3.2 系统软件设计 3.2.1 编程思路及流程图 PTR2000 思路:单片机开始需将无线数据传输模块 PTR2000 设置处于接受状态,通过串口中断识别由 PC 用过无线信道传输来的指令,根据接受指令的内容采集数据并启动发送。发送前需将 PTR2000 模块设置为发射状态,且等待 5ms 才可发送,发送完毕后,向PC 机端发送“发送结束指令”,并将 PTR2000 重设为接受状态,下图为系统软件设计流程图。基于

35、单片机的 PTR2000 无线传输流程图 ORG 0000H AJMP START ORG 0200H START:SETB P1.0 ;PTR2000 的 Pin6 置 1 SETB P1.1 ;PTR2000 的 Pin7 置 1 MOV SP,#80H ;设置数据指针的位置 MOV SCON,#40H ;串口工作方式 1 MOV TMOD,#20H ;定时器工作方式 1 MOV TL1,#OFDH ;设定波特率 9600 MOV TH1,#OFDH MOV PCON,#00H SETB TR1 ;开启定时器 1 MOV DPH,#50H ;指针指向数据块 MOV DPL,#00H VIN

36、:MOV Rl,#LEN1 ;发送 VIN 码 ACALL TXSUB USER:MOVE R1,#LEN2 ;发送用户名称 ACALL TXSUB COUNT:MOV RA,#LEN3 ;发送用户水电气数据 ACALL TXSUB CLR Pl.0 ;将 PTR2000 置接收状态 CLR Pl.l ;将 PTR2000 置待机状态 ORG 0400H TXSUB:PUSH ACC ;保护 ACC PUSH PSW ;保护 PSW CLR Tl ;清 Tl TXDD:CLR A MOVC A,A+DPTR;从数据块中取数 MOV SUBF,A ;从串口发送 JNB Tl,$;等待发送完 CL

37、R Tl INC DPTR ;取下一个数 DJNT RI,TXDD ;数据为发送完,继续 POP PSW ;弹出 PSW POP ACC ;弹出 ACC RET()RG 0500H ;数据块 DVIN:DB1234567890 IEN1 DATA10 USER:DBABDEFG LEN2 DATA 7 DCOUNT:DB8740 3365 4200 LEN3 DATA 12 SHT11 思路:开始 微处理器和温湿度传感器通信采用串行二线接口 SCK 和 DATA,其中 SCK 为时钟线,DATA 为数据线。该二线串行通信协议和 I2C 协议是不兼容的。在程序开始,微处理器需要用一组启动传输时序

38、表示数据传输的启动,如图 4 所示。当 SCK 时钟为高电平时,DATA 翻转为低电平;紧接着 SCK 变为低电平,随后又变为高电平;在 SCK 时钟为高电平时,DATA 再次翻转为高电平。SHT11 初始化 启动 SHT11 等待上位机发送指令测温度 温度计算 计算结果发送到上位机 复位#ifndef _SHT11_H_#define _SHT11_H_/*SHT11 相关命令*/#define TEM_TEST 0 x03/温度检测命令#define REG_READ 0 x07/读寄存器#define REG_WRITE 0 x06/写寄存器#define FUNCTION_SET 0

39、x01/设置 SHT11 的工作精度为 8 位/湿度 12位温度/*SHT11 端口定义*/sbit SHT11_DATA=P20;sbit SHT11_SCK=P22;uchar flag_tempeture=0;/显示温度位置的标志 uchar code str1=0 x10,0 x06,0 x09,0 x08,0 x08,0 x09,0 x06,0 x00;/温度图标 uchar code str6_sht11=%RH ;uchar code str2_sht11=temp=;uchar code str7_sht11=;/清除没不要的显示/*函数名称:Delay()函数功能:SHT11

40、 内部延时*/void Delay();/*函数名称:Delay_Ms()函数功能:SHT11 检测等待延时 函数说明:11ms/55ms/210ms 分别对应 8 位/12 位/14 位 测量结果 对应的形参为 N 则延时 Nms*/void Delay_Ms(uint ms)uint i,j;for(i=ms;i0;i-)for(j=112;j0;j-);/*函数功能:SHT11 启动时序*/void SHT11_Start()SHT11_SCK=1;SHT11_DATA=1;Delay();SHT11_DATA=0;Delay();SHT11_SCK=0;Delay();SHT11_SC

41、K=1;Delay();SHT11_DATA=1;/*函数名称:SHT11_Sendbyte(uchar dat)函数功能:向 SHT11 发送 8bite 数据*/void SHT11_Sendbyte(uchar dat)uchar i;SHT11_SCK=0;Delay();for(i=0;i8;i+)if(dat&0 x80)SHT11_DATA=1;Delay();else SHT11_DATA=0;Delay();dat=dat1;SHT11_SCK=1;Delay();SHT11_SCK=0;/*函数名称 SHT11_Answer():函数功能:检测 SHT11 的响应信号(在第

42、九个时钟周期)*/void SHT11_Answer()SHT11_SCK=1;Delay();while(SHT11_DATA=1);SHT11_SCK=0;SHT11_DATA=1;/*函数名称:SHT11_Test_Finish()函数功能:检测 SHT11 温度检测是否完毕*/void SHT11_Test_Finish()while(SHT11_DATA=1);/*函数名称:SHT11_Receivebyte()函数功能:从 SHT11 接收 8bite 数据*/uchar SHT11_Receivebyte()uchar i;uchar dat;SHT11_SCK=0;Delay(

43、);for(i=0;i8;i+)SHT11_SCK=1;Delay();dat=dat100.0)flag_tempeture=1;else if(tempeture10.0)flag_tempeture=1;else flag_tempeture=0;return(tempeture1);4 系统测试 4.1 系统测试步骤(1)检查开发板电源、串口线以及外扩设备连接是否正常。(2)下载协调器代码到开发系统的表演板。(3)下载传感器节点代码到电池板(4)用串口调试助手观察协议栈运行是否正常(5)测试上位机软件,PC 端能否正常接收数据以及能否将数据存到数据库中,可否正常画出曲线。4.2 系统测

44、试结果 系统的硬件测试 系统的硬件测试包括对开发平台的电源、内存、按键、LED 灯、串口,以及配套电路进行测试。下载各模块的程序后,系统各硬件均能正常工作。协议栈的测试 下载协调器模块到表演板、节点模块到电池板后,程序运行正确,从串口能正确接收到节点的地址以及所采集到的温度。上位机的测试 打开上位机软件,从串口读入当前温度值,能够实时显示温度并能够绘出温度曲线,能够顺利在多个曲线间进行切换,能够存储过往数据并且能够查看。运行效果图如下所示。4.3 系统测试结果分析 经测试,系统软硬件均工作正常,实现了需求中的绝大部分功能。网络功能方面,充分发挥了 ZigBee 的强大的优势,网络健壮。测试中,温度传感器采集的是室温,所测得的温度值与室温基本一致。上位机也顺利绘出温度的折线图并且能够存储数据。总体上,本设计基本完成了预期的目标和要求。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 小学资料

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com