数字逻辑A学习教案.pptx

上传人:一*** 文档编号:71938866 上传时间:2023-02-07 格式:PPTX 页数:45 大小:562.32KB
返回 下载 相关 举报
数字逻辑A学习教案.pptx_第1页
第1页 / 共45页
数字逻辑A学习教案.pptx_第2页
第2页 / 共45页
点击查看更多>>
资源描述

《数字逻辑A学习教案.pptx》由会员分享,可在线阅读,更多相关《数字逻辑A学习教案.pptx(45页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、会计学1数字数字(shz)逻辑逻辑A第一页,共45页。2023/2/72 时序(sh x)逻辑电路设计同步(tngb)时序逻辑电路设计的一般步骤采用采用(ciyng)小规模集成器件设计同步计数器小规模集成器件设计同步计数器采用小规模集成器件设计异步计数器采用小规模集成器件设计异步计数器第1页/共45页第二页,共45页。2023/2/73同步时序逻辑电路设计同步时序逻辑电路设计(shj)的一般步骤的一般步骤设计要求原始状态图(状态表)最简状态图(状态表)状态编码输出方程驱动方程逻辑电路图状态简化状态分配触发器选型自启动检查逻辑抽象一、设计同步时序逻辑电路,一般一、设计同步时序逻辑电路,一般(yb

2、n)按以下步骤:按以下步骤:(1)逻辑逻辑(lu j)抽象,建立原始状态转换图抽象,建立原始状态转换图/表。表。这一步是基础,也是关键。通常要确定这一步是基础,也是关键。通常要确定输入变量输入变量、输出变量输出变量、状态状态数数,并定义它们的含义,将状态顺序编号,画出状态转换图或表。,并定义它们的含义,将状态顺序编号,画出状态转换图或表。第2页/共45页第三页,共45页。2023/2/74(2)状态化简,消除多余状态,得到状态化简,消除多余状态,得到(d do)最小状态转换图最小状态转换图/表。表。(3)状态分配状态分配(状态编码状态编码(bin m),画出编码,画出编码(bin m)后的状态

3、转换图后的状态转换图/表。表。因为时序逻辑电路的状态(zhungti)是用触发器状态(zhungti)的不同组合来表示的。所以,这一步所做的工作就是要确定触发器的个数所以,这一步所做的工作就是要确定触发器的个数n,并给每个,并给每个状态分配一组二值代码。其中状态分配一组二值代码。其中n为满足公式为满足公式nlog2N(N为状态数为状态数)的最小整数。的最小整数。(4)选定触发器的类型,求出电路的选定触发器的类型,求出电路的输出方程输出方程,驱动方程驱动方程。(5)根据得到的方程式画出逻辑图。根据得到的方程式画出逻辑图。(6)检查设计的电路能否自启动。检查设计的电路能否自启动。如果电路不能自启动

4、,应修改设计或加置初态。如果电路不能自启动,应修改设计或加置初态。第3页/共45页第四页,共45页。2023/2/75例:设计例:设计例:设计例:设计(shj)(shj)一个自动报纸销售机一个自动报纸销售机一个自动报纸销售机一个自动报纸销售机设计设计个简单的数字电路用于电子的报纸个简单的数字电路用于电子的报纸(bozh)(bozh)售卖机的售卖机的投币器。投币器。假设报纸假设报纸(bozh)(bozh)价格为元。价格为元。投币器只能接受投币器只能接受5 5角和角和1 1元的硬币。元的硬币。必须提供适当数目的零钱,投币器不找钱。必须提供适当数目的零钱,投币器不找钱。合法的硬币组合包括合法的硬币组

5、合包括1 1个个5 5角的硬币和角的硬币和1 1个个1 1元的硬币,元的硬币,3 3个个5 5角角的硬币的硬币,1,1个个1 1元硬币和元硬币和1 1个个5 5角的硬币,角的硬币,2 2个个1 1元的硬币是合法的,元的硬币是合法的,但是投币器不找钱。但是投币器不找钱。当投币满足时,送出一份报纸当投币满足时,送出一份报纸(bozh)(bozh)第4页/共45页第五页,共45页。2023/2/76解解:(1):(1)逻辑逻辑(lu j)(lu j)抽象,建立原始状态转换图抽象,建立原始状态转换图 分析题意,分析题意,确定输入确定输入(shr)、输出变量。输出变量。输入输入(shr):所投硬币,:所

6、投硬币,AB表示;表示;00:没有投币;:没有投币;01:一个:一个5角;角;10:一个:一个1元的硬币时。元的硬币时。输出输出:Y表示;表示;0:不出报纸;:不出报纸;1:出一份报纸。:出一份报纸。确定状态。确定状态。首先确定有多少种信息需要记忆,首先确定有多少种信息需要记忆,然后对每一种需然后对每一种需要记忆的信息设置一个状态并用字母表示。要记忆的信息设置一个状态并用字母表示。状态状态:s00;s15角;角;s21元;元;s3元;元。元;元。此外输入信号还有时钟信号此外输入信号还有时钟信号clk及复位信号及复位信号reset第5页/共45页第六页,共45页。2023/2/77 确定状态之间

7、的转换确定状态之间的转换(zhunhun)关系,关系,画出原始状态图,画出原始状态图,列出原列出原始状态表。始状态表。01/0 01/010/1(a)原始(yunsh)状态图 S4S300/0 S0S1S2AB/YS00/000/001/110/010/1状态状态(zhungti):s00;s15角;角;s21元;元;s3元;元;元。元。等等价价状状态态:凡凡是是在在输输入入相相同同时时,输输出出相相同同、要要转转换换到到的的次次态态也也相相同同的的状状态态,称为等价状态。等价状态可以合并。称为等价状态。等价状态可以合并。10/001/000/000/0第6页/共45页第七页,共45页。202

8、3/2/78(2)(2)状态状态(zhungti)(zhungti)化简化简在完全描述状态转移表中,两个状态如果在完全描述状态转移表中,两个状态如果“等价等价”,则这两个状,则这两个状态可以合并为一个状态。两个状态等价的条件是:态可以合并为一个状态。两个状态等价的条件是:(1)(1)在所有输入条件下,两个状态对应输出在所有输入条件下,两个状态对应输出(shch)(shch)完全相同;完全相同;(2)(2)在所有输入条件下,两个状态转移效果完全相同。在所有输入条件下,两个状态转移效果完全相同。对转移对转移(zhuny)(zhuny)效果的理解:效果的理解:(1)(1)在所有输入条件下,两个状态的

9、次态完全相同。在所有输入条件下,两个状态的次态完全相同。(2)(2)在有些输入条件下次态不相同,例如:在有些输入条件下次态不相同,例如:S1S3S1S3,S2 S4S2 S4,则要继续比较则要继续比较S3S3和和S4S4两个状态,若等价,则两个状态,若等价,则S1S1和和S2S2的状态转移的状态转移(zhuny)(zhuny)效果相同;否则不同。称效果相同;否则不同。称S3S3,S4S4是是S1S1和和S2S2的等价隐含条的等价隐含条件。件。(3)(3)在有些输入条件下,在有些输入条件下,S1 S1和和S2S2状态对与状态对与S3S3和和S4S4状态对互为隐状态对互为隐含条件,则含条件,则S1

10、S1和和S2S2等价,等价,S3 S3和和S4S4也等价。也等价。第7页/共45页第八页,共45页。2023/2/79S1S2S3S4S0S1S2S3(a)隐含表不不等等价价(dngji):;等价等价(dngji):隐含(yn hn)表化简法:S0S1S200/001/001/110/110/100/001/010/000/0AB/Y或或01/0 01/010/1 S4S300/0 S0S1S200/000/001/110/010/110/001/000/000/0第8页/共45页第九页,共45页。2023/2/710(3)(3)状态状态(zhungti)(zhungti)编码编码 状态分配是

11、指将状态表中每个状态赋以适当的二进制代码,得到状态分配是指将状态表中每个状态赋以适当的二进制代码,得到(d do)代码形式代码形式的状态表(二进制状态表)。的状态表(二进制状态表)。n n位二进制数共有位二进制数共有2n2n种不同代码,若需要分配种不同代码,若需要分配(fnpi)(fnpi)的状态数为的状态数为M M,则,则即即n为选择的触发器的个数。本例中,为选择的触发器的个数。本例中,M=3,所以取,所以取n=2,需,需2个触发器。个触发器。S0=00S1=01S2=1000011000/001/001/110/110/100/001/010/000/0AB/Y或或第9页/共45页第十页,

12、共45页。2023/2/711(4)(4)触发器选型,求时钟、输出触发器选型,求时钟、输出(shch)(shch)、状态、驱动方程、状态、驱动方程00011000/001/001/110/110/100/001/010/000/0AB/Y或或xxx1011xxx11xxx01xxx00 xxx1110010100010100010 xxx11100100100100100 01xxx1101010001010000000YAB第10页/共45页第十一页,共45页。2023/2/7120 x0110 xxxx110 x10011x000010110100ABQ1Q0YAB0 x0010 xxxx

13、110 x01010 x100010110100ABQ1Q0YAB1x1010 xxxx111x00010 x000010110100ABQ1Q0第11页/共45页第十二页,共45页。2023/2/713状状态态方方程程选选用用2 2个个CPCP下下降降沿沿触触发发的的JKJK触触发发器器,分分别别用用FF0FF0、FF1FF1表表示示(biosh)(biosh)。采用同步方案。采用同步方案。(5)(5)检查检查(jinch)(jinch)自启动能力,画逻辑电路自启动能力,画逻辑电路图图电路存在无效状态电路存在无效状态“11”“11”,电路开始工作时,可通过,电路开始工作时,可通过(tnggu

14、)(tnggu)复复位信号设置正确的工作初态位信号设置正确的工作初态”00”00”第12页/共45页第十三页,共45页。2023/2/714 YFF0FF1 A B Q1 Q1 1J C1 1K 1J C1 1K&Q0 Q0 CP&1&reset第13页/共45页第十四页,共45页。2023/2/715解解:(1):(1)建立建立(jinl)(jinl)原始状态图和状态表原始状态图和状态表 分析题意,分析题意,确定输入确定输入(shr)(shr)、输出变量。输出变量。设置状态。设置状态。首先确定有多少种信息需要记忆首先确定有多少种信息需要记忆(jy)(jy),然后对每一种需要记忆然后对每一种需

15、要记忆(jy)(jy)的信息设置一个状态并用字母表示。的信息设置一个状态并用字母表示。确定状态之间的转换关系,确定状态之间的转换关系,画出原始状态图,画出原始状态图,列出原始状态表。列出原始状态表。例例例例:设设计计一一个个串串行行数数据据检检测测电电路路,当当连连续续输输入入3个个或或3个个以以上上“1”时,电路输出为时,电路输出为“1”,其它情况下输出为,其它情况下输出为“0”。例如:例如:输入输入X 输出输出Z 000000001000110分析题意,规定如下:分析题意,规定如下:S S0 0:初始状态,初始状态,表示电路还没有收到一个有效的表示电路还没有收到一个有效的1 1。S S1

16、1:表示电路收到了一个表示电路收到了一个1 1的状态。的状态。S S2 2:表示电路收到了连续两个表示电路收到了连续两个1 1的状态。的状态。S S3 3:表示电路收到了连续三个表示电路收到了连续三个1 1的状态。的状态。第14页/共45页第十五页,共45页。2023/2/716S0S1S2S3设电路开始设电路开始(kish)(kish)处于初始状态为处于初始状态为S0S0。1/0X/Z1/01/11/10/00/00/00/0建立建立(jinl)原始状态图原始状态图(2)(2)状态状态(zhungti)(zhungti)化简化简 凡凡是是在在输输入入相相同同时时,输输出出相相同同、要要转转换

17、换到到的的次次态态也也相相同同的的状状态态,称称为为等等价价状状态态。等价状态可以合并。等价状态可以合并。最简状态表最简状态表第15页/共45页第十六页,共45页。2023/2/717S0=00S1=01S2=10(3)(3)状态状态(zhungti)(zhungti)分配分配 状态状态(zhungti)分配是指将状态分配是指将状态(zhungti)表中每个状态表中每个状态(zhungti)赋以适当的二进制代码,得到代码形式的状态赋以适当的二进制代码,得到代码形式的状态(zhungti)表(二进制状态表(二进制状态(zhungti)表)。表)。n n位二进制数共有位二进制数共有(n yu)2n

18、(n yu)2n种不同代码,若需要分配的状态数为种不同代码,若需要分配的状态数为M M,则,则即即n为选择的触发器的个数。本例中,为选择的触发器的个数。本例中,M=3,所以取,所以取n=2,需,需2个触发器。个触发器。状态表状态表第16页/共45页第十七页,共45页。2023/2/718选选用用2 2个个CPCP下下降降沿沿触触发发的的JKJK触触发发器器,分分别别用用FF0FF0、FF1FF1表表示示。采采用用同同步步(tngb)(tngb)方案。方案。输输出出(shch)方方程程状状态态方方程程(4)(4)触发器选型,求时钟触发器选型,求时钟(shzhng)(shzhng)、输出、状态、驱

19、、输出、状态、驱动方程动方程第17页/共45页第十八页,共45页。2023/2/719比比 较较(bjio),得得驱动方程:驱动方程:将无效状态将无效状态11代入输出代入输出(shch)方程和状态方方程和状态方程计算:程计算:电路电路(dinl)能够能够自启动。自启动。(5)(5)检查自启动能力,画逻辑电路图检查自启动能力,画逻辑电路图第18页/共45页第十九页,共45页。2023/2/720逻辑电路逻辑电路(lu(lu j din l)j din l)图:图:电路电路(dinl)的完整状态转的完整状态转换图:换图:X/ZQ1Q0第19页/共45页第二十页,共45页。2023/2/721 同步

20、(tngb)计数器设计同步同步(tngb)(tngb)计数器设计步骤如下:计数器设计步骤如下:建立建立(jinl)(jinl)最简状态转最简状态转移图移图确定触发器级数,进行状态编码确定触发器级数,进行状态编码用次态卡诺图,求状态方程、输出方程用次态卡诺图,求状态方程、输出方程检查自启动特性检查自启动特性确定触发器类型,求驱动方程确定触发器类型,求驱动方程画逻辑图画逻辑图第20页/共45页第二十一页,共45页。2023/2/722例 设计(shj)模同步计数器。解第一步:建立解第一步:建立(jinl)最简原始状态图最简原始状态图S0S1S2S3S4S5/0/0/0/0/1/0图6-5-7 原始

21、状态图第二步:状态编码第二步:状态编码(bin m)由于状态数为由于状态数为6,23622,共需,共需3片触发器。令:片触发器。令:S0000,S1001,S2011,S3111,S4110,S5100。模模计计数数器器要要求求有有个个记记忆忆状状态态,且且逢逢六六进进一一,由由此此可可作作出出原原始始状状态态转转移移图图。由由于于必必须须要要有有个个记记忆忆状状态态,所以不需要再化简。所以不需要再化简。第21页/共45页第二十二页,共45页。2023/2/723表6-5-6 状态转移表Z(t)N(t)S(t)100000100010110011111011111001101000100000

22、第三步:求状态方程第三步:求状态方程010011x0001111001000111x000111100110011000001111001001000 x0001111001图6-5-8 例6-6次态及输出函数卡诺图状态转移方程:状态转移方程:输出方程:输出方程:xxxx第22页/共45页第二十三页,共45页。2023/2/724010101000001011111110100图6-5-9 原始状态图010101 (a)(b)第四步:检验第四步:检验(jinyn)自启动特性自启动特性将将偏偏离离态态010和和101代代入入状状态态(zhungti)转转移移方方程程,作作出出状状态态(zhung

23、ti)转移图。转移图。显显然然,计计数数器器不不具具有有自自启启动动特特性性。究究其其原原因因是是在在求求解解状状态态 转转 移移 方方 程程 时时,将将 偏偏 离离(pinl)态态作作为为任任意意态态处处理理,没有确定的转移方向。没有确定的转移方向。解解决决的的办办法法是是将将某某一一个个偏偏离离(pinl)态态转转移移到到一一个个确确定定的的有有效效状状态态(如如011),再再次次求解状态转移方程。求解状态转移方程。第23页/共45页第二十四页,共45页。2023/2/7251DRC1CP1DRC11DRC1&1125RDQ1Q2Q5Q2Z图6-5-10 逻辑电路图第五步:选择触发器类型并

24、画逻辑电路第五步:选择触发器类型并画逻辑电路(lu j din l)图图采用采用D触发器:触发器:第24页/共45页第二十五页,共45页。2023/2/726图6-5-11 原始状态图S0S1S2S4S5S60/0,1/00/00/01/00/0S31/10/10/0,1/00/0,1/0例设计例设计(shj)(shj)一个可变模值的同步计数器,当控制信号一个可变模值的同步计数器,当控制信号M=0M=0时,实现模时,实现模7 7计数,当计数,当M=1M=1时,实现模时,实现模5 5计数。计数。解根据题意解根据题意(t y)要求可直接作出原始状态转移图,且不需要再化简。要求可直接作出原始状态转移

25、图,且不需要再化简。最大状态数为最大状态数为7,因此,因此(ync)取状态代码位数为取状态代码位数为3。令:。令:S0=000,S1=001,S2=011,S3=110,S4=101,S5=010,S6=100。第25页/共45页第二十六页,共45页。2023/2/727序号序号S(t)N(t)Z(t)M=0M=1M=0M=1000000100100100101101100201111011000511010110000410101000501010000610000000011表6-5-7 状态(zhungti)转移表根据根据(gnj)原始状态转移图作状态转移表。原始状态转移图作状态转移表。

26、第26页/共45页第二十七页,共45页。2023/2/728000000 x00001111000011xx1111x1110图6-5-12 次态及输出(shch)函数卡诺图000011x10001111000011xx1000 x1110100110 x10001111000010 xx0010 x1110011000 x00001111000010 xx0000 x1110由状态由状态(zhungti)转移表求解各级触发器状态转移表求解各级触发器状态(zhungti)转移方程转移方程和输出方程。和输出方程。第27页/共45页第二十八页,共45页。2023/2/729状态状态(zhungti

27、)转移方程:转移方程:输出输出(shch)方程:方程:根据状态转移方程检验自启动特性。根据状态转移方程检验自启动特性。001010010101011011111M=1M=0N(t)S(t)表6-5-8 偏离状态的检验第28页/共45页第二十九页,共45页。2023/2/730图6-5-15 状态(zhungti)转移图0000010111010101000/0,1/00/00/01/00/01100/1,1/11/00/0,1/00/0,1/01110/0,1/00101011/0选择触发器类型,确定驱动方程。选择触发器类型,确定驱动方程。(采用(采用J-K触发器)触发器)显显然然,该该电电路

28、路(dinl)具具有有自自启动特性。启动特性。第29页/共45页第三十页,共45页。2023/2/7311J1K1Q1C1Q11J1K2Q2C1Q21J1K5Q5C1Q5&1&1CPZM图6-5-14 逻辑图画逻辑电路画逻辑电路(lu j din l)图。图。第30页/共45页第三十一页,共45页。2023/2/732S0S1S2S4S3S9S8S7S5S6图6-5-15 原始状态转移图采用小规模集成(j chn)器件设计异步计数器例设计例设计(shj)8421 BCD(shj)8421 BCD二十进制异步计数器。二十进制异步计数器。异异步步计计数数器器的的设设计计与与同同步步计计数数器器的的

29、设设计计步步骤骤相相同同,但但必必须须合合理理(hl)(hl)地选择各级触发器的时钟信号。地选择各级触发器的时钟信号。解解第一步:建立原始状态图第一步:建立原始状态图第31页/共45页第三十二页,共45页。2023/2/733表6-5-9 状态转移表序号序号Z(t)N(t)S(t)1000010019010010001800001111070111001106000000000000543210001110101010010001110011001000101000100000第二步:建立第二步:建立(jinl)(jinl)状态转移表状态转移表 用用8421BCD8421BCD码对码对S0S0

30、S9S9进行编码,根据状态转移图即可得到状态转移表。进行编码,根据状态转移图即可得到状态转移表。第32页/共45页第三十三页,共45页。2023/2/734第三步第三步 选择各级触发器时钟选择各级触发器时钟(shzhng)信号信号原则:原则:第一,在该级触发器的状态需要发生变更时,必须有时钟信号触发沿到达。第一,在该级触发器的状态需要发生变更时,必须有时钟信号触发沿到达。第第二二,在在满满足足第第一一原原则则的的条条件件下下,其其它它时时刻刻到到达达该该级级触触发发器器的的时时钟钟触触发发沿沿 越越少少越好。越好。第第k级级触触发发器器的的时时钟钟触触发发信信号号可可以以在在计计数数(j sh

31、)脉脉冲冲和和第第一一级级至至第第k-1级级触触发发器的输出信号中选取。器的输出信号中选取。第级触发器的时钟第级触发器的时钟(shzhng):CP1=计数输入脉冲计数输入脉冲CP第33页/共45页第三十四页,共45页。2023/2/735第级触发器的时钟:第级触发器的时钟:Q2的状态变更发生在序号的状态变更发生在序号12、34、56、78时刻,在这些时刻,在这些(zhxi)时刻,计数脉冲和时刻,计数脉冲和Q1输出有下降沿产生输出有下降沿产生(Q1有上升沿产生有上升沿产生),而计,而计数脉冲在其它时刻也有下降沿触发第级触发器,这些数脉冲在其它时刻也有下降沿触发第级触发器,这些(zhxi)时刻的触

32、时刻的触发都是发都是“多余多余”的或无效的;若选择第级触发器的输出,只是在的或无效的;若选择第级触发器的输出,只是在90时时刻刻Q1的跳变沿是的跳变沿是“多余多余”触发。触发。根据原则二,选择根据原则二,选择CP2=Q1(或或Q1)。根根据据以以上上分分析析方方法法,分分别别选选择择第第3 3和和第第级级触触发发器器的的触触发发信信号:号:第第3 3级触发器的时钟级触发器的时钟:CPCP3 3=Q Q2 2(或或Q Q2 2)。第级触发器的时钟第级触发器的时钟:CPCP4 4=Q Q1 1(或或Q Q1 1)。第34页/共45页第三十五页,共45页。2023/2/736第四步:作简化状态转移表

33、第四步:作简化状态转移表目的:根据各触发器的时钟信号,得出它们的转移情况。目的:根据各触发器的时钟信号,得出它们的转移情况。方方法法:求求出出各各级级触触发发器器在在各各自自被被触触发发时时刻刻的的状状态态转转移移情情况况,将将不不被触发时刻的转移状态作为被触发时刻的转移状态作为(zuwi)任意态处理。任意态处理。例例如如:Q1下下降降(或或上上升升)沿沿作作为为触触发发器器和和触触发发器器的的触触发发信信号号,在在序序号号1、5、5、7、9这这些些时时刻刻受受计计数数脉脉冲冲触触发发后后,Q1产产生生下下降降沿沿(Q1产产生生上上升升沿沿)触触发发信信号号。因因此此在在这这些些时时刻刻可可以

34、以作作出出触触发发器器和和触触发发器器的的状状态态转转移移,而而在在其其余余时时刻刻,不不会会被触发,其状态转移可以作任意态处理。依此类推。被触发,其状态转移可以作任意态处理。依此类推。第35页/共45页第三十六页,共45页。2023/2/737表6-5-10 例6-8简化的状态(zhungti)转移表100010019010001800001111070101106000000000545210序号序号0011010100100011100101000110001000Z(t)N(t)S(t)第36页/共45页第三十七页,共45页。2023/2/738图6-5-16 次态及输出(shch)函

35、数卡诺图0000001111000010 11110110000111100001001110000111100001101110第五步:求解各级触发器的状态转移第五步:求解各级触发器的状态转移(zhuny)方程和方程和输出方程。输出方程。第37页/共45页第三十八页,共45页。2023/2/7391110000001111000010011111000000100011110000100001110第38页/共45页第三十九页,共45页。2023/2/740第六步:检验自启动特性第六步:检验自启动特性方方法法:假假设设计计数数器器处处于于偏偏离离态态中中的的任任意意一一个个状状态态(zhun

36、gti),根根据据状状态态(zhungti)转转移移方方程程确确定定其其次次态态,检检查查该该次次态态是是否否为为有有效效状状态态(zhungti)或最终能否转移到有效状态或最终能否转移到有效状态(zhungti)。分析说明分析说明(shumng):该电路具有自启动特性。:该电路具有自启动特性。000011111111011100101011100101100100101111101011N(t)S(t)表6-5-11 偏离状态检验第39页/共45页第四十页,共45页。2023/2/7410001001000110101010000001001100001100111图6-5-17 状态(zh

37、ungti)转移图110011011010101111111110根根据据状状态态转转移移(zhuny)表表和和偏偏离离状状态态的的检检验验结结果果,可可以以作作出状态转移出状态转移(zhuny)图。(非必要步骤)图。(非必要步骤)第40页/共45页第四十一页,共45页。2023/2/7421J1K4Q4C1Q4&R1J1K5Q5C1R&1J1K2Q2C1R1J1K1Q1C1R1ZRDCP图6-5-18 采用J-K触发器的逻辑图第七步:画逻辑电路第七步:画逻辑电路(lu j din l)图图下降沿触发下降沿触发1D4Q4C1Q4R&1Z1D5Q5C1Q5R1D2Q2C1Q2R&1D1Q1C1Q

38、1RRDCP图6-5-19 采用D触发器的逻辑图上升沿触发上升沿触发第41页/共45页第四十二页,共45页。2023/2/743计数模值计数模值D5D2D1D0151110141100151000120000110001100010901018101070100610015001140110511012101110111表6-5-19 不同(b tn)模值输入数据如果构成如果构成(guchng)其余不同模值时,只需改变并行输入数据即可,其他其余不同模值时,只需改变并行输入数据即可,其他结构不变。结构不变。第42页/共45页第四十三页,共45页。2023/2/744第第6 6章章 小结小结(xi

39、oji)(xioji)1.1.1.1.时时时时序序序序逻逻逻逻辑辑辑辑电电电电路路路路的的的的特特特特点点点点:任任任任一一一一时时时时刻刻刻刻输输输输出出出出状状状状态态态态不不不不仅仅仅仅取取取取决决决决于于于于当当当当时时时时的的的的输输输输入入入入信信信信号号号号,还还还还与与与与电电电电路路路路的的的的原原原原状状状状态态态态有有有有关关关关(yugun)(yugun)(yugun)(yugun)。因因因因此此此此时时时时序序序序电电电电路路路路中中中中必必必必须须须须含含含含有有有有存储器件。存储器件。存储器件。存储器件。2.2.描描述述时时序序逻逻辑辑电电路路逻逻辑辑功功能能(g

40、ngnng)(gngnng)的的方方法法有有逻逻辑辑方方程程式式、状态转移表、状态转移图和时序图等。状态转移表、状态转移图和时序图等。3.3.时时序序逻逻辑辑电电路路的的分分析析步步骤骤一一般般为为:逻逻辑辑图图时时钟钟方方程程(异异步步)、驱驱动动方方程程、输输出出方方程程状状态态方方程程状状态态转转移移表表状状态态图图和和时时序序图图逻辑功能。逻辑功能。5.5.计计数数器器是是一一种种简简单单而而又又最最常常用用的的时时序序逻逻辑辑器器件件。计计数数器器不不仅仅能能用用于于统统计计输输入入脉冲的个数,还常用于分频、定时、产生节拍脉冲等。脉冲的个数,还常用于分频、定时、产生节拍脉冲等。4.4

41、.寄寄存存器器是是一一种种常常用用的的时时序序逻逻辑辑器器件件。寄寄存存器器分分为为数数码码寄寄存存器器和和移移位位寄寄存存器器两种。两种。6.6.用已有的用已有的N N进制集成计数器构成进制集成计数器构成M M(任意任意)进制的计数器。进制的计数器。第43页/共45页第四十四页,共45页。2023/2/7451.1.熟练掌握时序逻辑电路的基本分析方法熟练掌握时序逻辑电路的基本分析方法(fngf)(fngf);2.2.熟练掌握寄存器的逻辑功能和使用方法熟练掌握寄存器的逻辑功能和使用方法(fngf)(fngf);3.3.熟练掌握计数器的逻辑功能和使用方法熟练掌握计数器的逻辑功能和使用方法(fngf)(fngf);4.4.熟练掌握利用中规模集成器件设计时序逻辑电路的方法熟练掌握利用中规模集成器件设计时序逻辑电路的方法(fngf)(fngf)。第44页/共45页第四十五页,共45页。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 管理文献 > 管理工具

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com