集成电路55036.ppt

上传人:hyn****60 文档编号:70985747 上传时间:2023-01-31 格式:PPT 页数:182 大小:1.45MB
返回 下载 相关 举报
集成电路55036.ppt_第1页
第1页 / 共182页
集成电路55036.ppt_第2页
第2页 / 共182页
点击查看更多>>
资源描述

《集成电路55036.ppt》由会员分享,可在线阅读,更多相关《集成电路55036.ppt(182页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、专用集成电路设计方法俞军Tel:53085050Email:复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室课课 程程 安安 排排4专用集成电路 概述 1 周4ASIC的设计流程和设计方法(重点)设计描述,设计流程 1周设计策略,综合方法 1周设计验证,ASIC设计中的考虑因素 1周深亚微米设计方法和设计技术以及EDA技术的发展 1周复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室课课 程程 安安 排排4专用集成电路的测试方法Design-for-Test Basics 2 周4可编程ASIC可编程ASIC器件的结构,资源,分类和开发系统 1周Xilinx,Al

2、tera可编程器件 2周复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第一章第一章 专用集成电路概述专用集成电路概述41.1通用集成电路和专用集成电路通用集成电路:市场上能买到的具有通用功能的集成电路74 系列 ,4000系列,Memory,CPU 等专用集成电路ASIC(Application Specific Integrated Circuits)SUN SPARC Workstation 中的9块电路,某些加密电路等复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第一章第一章 专用集成电路概述专用集成电路概述专用标准电路ASSP(Application

3、-Specific Standard Products)Modem 芯片,DVD decoder,VCD decoder,audio DAC,Motor Servo DSP 等复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第一章第一章 专用集成电路概述专用集成电路概述41.2集成电路发展简史复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第一章第一章 专用集成电路概述专用集成电路概述41.4集成电路设计和制造过程设计过程制定规范(SPEC)系统设计(System Design)电路设计(Circuit Design)版图设计(Layout Design)制造过

4、程制版 掩膜版制造(MASK)流片(Fab)光刻,生长,扩散,掺杂,金属化,蒸铝等产生Pn结,NPN结构,MOS 电阻,电容等 复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第一章第一章 专用集成电路概述专用集成电路概述制造过程测试(Testing)以Spec和Test Vector 为标准检测制造出的芯片是否满足设计要求封装(Pakaging)划片(Cutting)键合(Wire Bonding)包封(Pakaging)形式:DIP,QFP,PLCC,PGA,BGA,FCPGA等复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第一章第一章 专用集成电路概述专

5、用集成电路概述集成电路功能测试示意图复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室集成电路设计过程集成电路设计过程复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第一章第一章 专用集成电路概述专用集成电路概述41.5ASIC技术现状和发展趋势摩尔规律:每十八个月,集成度增加一倍,速度上升一倍,器件密度上升一倍复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第一章第一章 专用集成电路概述专用集成电路概述专用集成电路预测与发展 SOC(System on a chip)工艺(Process)由0.35um,0.25um,0.18um进入0.13um

6、,0.10um即高速,低压,低功耗EDA设计工具与设计方法必须变革以适应深亚微米工艺的发展(如 Single Pass,Physical Synthesis 等)可编程器件向更高密度,更大规模和更广泛的领域发展(如Mixed Signal)MCMAnalog 电路-高速,高精度,低功耗,低电压ASIC产品的发展动向内嵌式系统(Embeded System)(自动控制,仪器仪表)计算机,通讯结合的系统芯片(Cable Modem,1G)多媒体芯片(Mpeg Decoder Encoder,STB,IA)人工智能芯片光集成电路复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第二章第

7、二章ASIC设计流程和方法设计流程和方法42.1 概述设计过程分电路设计-前端设计版图设计-后端设计设计流程(方法)分自底向上(Bottom Up)自顶向下(Top Down)数字集成电路设计行为方面结构方面物理方面复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第二章第二章ASIC设计流程和方法设计流程和方法42.1 概述设计策略设计描述自动化设计的综合方法设计验证方法深亚微米设计方法和EAD 工具的发展复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第二章第二章ASIC设计流程和方法设计流程和方法42.2设计描述描述方面行为描述结构描述物理描述设计抽象的层次

8、系统算法级寄存器传输级(RTL级)逻辑级和电路级最低层的晶体管级电路复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第二章第二章ASIC设计流程和方法设计流程和方法42.2.1.硬件描述语言HDL(Hardware Description Language)VHDL VHDL描述能力强,覆盖面广,可用于多种层次的电路描述,VHDL的硬件描述与工艺技术无关,不会因工艺变化而使描述无效。VHDL支持设计再利用(Reuse)方法,支持超大规模集成电路设计的分解和组合。可读性好,易于理解,国际标准,具备通用性。复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第二章第二章

9、ASIC设计流程和方法设计流程和方法VHDL设计描述由五种基本设计单元组成设计实体说明(Entity declaration)结构体(Architecture body)配置说明(Configuration declaration)集合元说明(Package dec1aration)集合元(Package body)复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第二章第二章ASIC设计流程和方法设计流程和方法ENTITY mux ISGENERIC(m:TIME:=2ns);PORT(in1,in2,sel:IN BIT;out1:OUT BIT);END mux;-设计实体

10、说明复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第二章第二章ASIC设计流程和方法设计流程和方法AECHITECTURE twown1 OF mux ISBEGINIF sel=1 THEN out1=1;ELSE out1=in2 AFTER m;END twown1;-行为描述复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第二章第二章ASIC设计流程和方法设计流程和方法AECHITECTURE twown2 OF mux ISBEGINNOT:Sb=U0(sel);AND2:S1=U1(sel,in1);AND2:S2=U2(Sb,in2);OR:ou

11、t1=U3(s1,s2);END twown2;-结构描述1复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第二章第二章ASIC设计流程和方法设计流程和方法AECHITECTURE twown3 OF mux ISBEGINNOT:Sb=U0(sel);NAND2:S1=U1(sel,in1);NAND2:S2=U2(Sb,in2);NAND:out1=U3(s1,s2);END twown3;-结构描述2复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室VHDL 设计环境复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第二章第二章ASIC设计流程

12、和方法设计流程和方法Verilog HDL能用于行为描述和结构描述,电路描述同时可以包含不同层次,且能和混合模式的模型一起进行模拟Verilog使用四值逻辑,即0,l,X和Z,其中“X”为不定态,Z为悬空态使用的基本数据类型是 与和 寄存器。复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第二章第二章ASIC设计流程和方法设计流程和方法2.2.2 行为描述(算法描述)举例 一位全加器复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第二章第二章ASIC设计流程和方法设计流程和方法Verilog-HDL 描述进位算法描述module carry(co,a,b,c);

13、output co;input a,b,c;wire#10 co=(a&b)|(a&c)|(b&c)end module复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第二章第二章ASIC设计流程和方法设计流程和方法2.23结构描述RTL(register Transfer Level)级门级(Gate Level)开关级(Switch Level)电路级(Circuit Level)4位加法器的结构描述复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第二章第二章ASIC设计流程和方法设计流程和方法4位加法器的结构描述module add4(s,c4,ci,a,

14、b);input3:0 a,b;input ci;output3:0 s;output c4;wire2:0 co;add a0(co0,s0,a0,b0,ci);add a1(co1,s1,a1,b1,c0);add a1(co2,s2,a2,b2,c2);add a1(co4,s3,a3,b3,co2);end module复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室module add(co,s,a,b,c);input a,b,c;output s,co;sum s1(s,a,b,c);carry c1(co,a,b,c);end modulemodule carr

15、y(co,a,b,c);input a,b,c;output co;wire x,y,z;and g1(x,a,b);and g2(y,a,c);and g3(z,b,c)or3 g4(co,x,y,z)end module复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第二章第二章ASIC设计流程和方法设计流程和方法 开关级描述(1)module carry(co,a,b,c);input a,b,c;output co;wire il,i2,i3,i4,i5,i6;nmos nl(i3,i4,a);nmos n2(i4,vss,b);nmos n3(i3,i5,b);nmo

16、s n4(i5,vss,c);nmos n5(i3,i6,a);nmos n6(i6,vss,c);nmos n7(co,vss,i3);pmos pi(il,vdd,a);pmos p2(i2,il,b);pmos p3(i3,i2,c);pmosp4(il,vdd,b);pmos p5(i2,il,c);pmos p6(i3,i2,a);pmos p7(co,vdd,i3);end module复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第二章第二章ASIC设计流程和方法设计流程和方法 开关级描述(2)mo

17、dule carry(co,a,b,c);input a,b,c;output co;wire il,i2,i3,i4,en;nmos nl(il,vss,a);nmosn2(il,vss,b);nmos n3(en,il,c);nmos n4(i2,vss,b);nmos ns(en,i2,a);pmospl(i3,vdd,b);.pmos p2(en,i3,a);pmos p3(cn,i4,c);pmos p4(i4,vdd,b);pmos p5(i4,vdd,a);pmos p6(co,vdd,en);pmos n6(co,vss,en);end module复旦大学专用集成电路与系统实

18、验室复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第二章第二章ASIC设计流程和方法设计流程和方法42.2.4 物理描述module add4;input a 3:0,b3:0;input ci;output s 3:0,outpu c4;boundary 0,0,100,400;portport a 0 aluminum width=l origin=0,25;port b 0 aluminum width=l origin=0,75;port ci polysilicon width=lorigin=50,0;port a 0 alumin

19、um width=ladd so origin=0,0add a1 origin=0,100end module复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第二章第二章ASIC设计流程和方法设计流程和方法42.3 设计流程2.3.1 bottom-Up自底向上(Bottom-Up)设计是集成电路和PCB板的传统设计方法,该方法盛行于七、八十年设计从逻辑级开始,采用逻辑单元和少数行为级模块构成层次式模型进行层次设计,从门级开始逐级向上组成RTL级模块,再由若于RTL模块构成电路系统对于集成度在一万门以内的ASIC设计是行之有效的,无法完成十万门以上的设计设计效率低、周期长,一

20、次设计成功率低复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第二章第二章ASIC设计流程和方法设计流程和方法42.3 设计流程2.3.2 Top-Down设计Top-Down流程在EDA工具支持下逐步成为IC主要的设计方法从确定电路系统的性能指标开始,自系统级、寄存器传输级、逻辑级直到物理级逐级细化并逐级验证其功能和性能复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第二章第二章ASIC设计流程和方法设计流程和方法关键技术首先是需要开发系统级模型及建立模型库,这些行为模型与实 现工艺无关,仅用于系

21、统级和RTL级模拟。系统级功能验证技术。验证系统功能时不必考虑电路的实现结 构和实现方法,这是对付设计复杂性日益增加的重要技术,目前系统级DSP模拟商品化软件有Comdisco,Cossap等,它们的通讯库、滤波器库等都是系统级模型库成功的例子。逻辑综合-是行为设计自动转换到逻辑结构设计的重要步骤复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第二章第二章ASIC设计流程和方法设计流程和方法Top-Down设计与Bottom-Up设计相比,具有以下优点:设计从行为到结构再到物理级,每一步部进都进行验证,提高了一次设计的成功率。提高了设计效率,缩短了ASIC的开发周期,降低了产品

22、的开发成本设计成功的电路或其中的模块可以放入以后的设计中提高了设计的再使用率(Reuse)。复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第二章第二章ASIC设计流程和方法设计流程和方法42.4 设计策略42.4.1 概述设计参数电路性能,包括功能、速度,功耗和应用特性芯片尺寸电路的可测性及测试码生成的难易性;设计周期成功率(Time to Market)经济性(Profit)设计效率(Efficiency)复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第二章第二章ASIC设计流程和方法设计流程和方法42.4.2 结构设计层次设计从高层到低层从抽象到具体利于

23、多人同时设计使设计思想清晰,设计工作简化规则设计使一个电路系统变成大量不同的子模块尽可能地将电路划分成一组相同或相似的模块,尽可能采用规划性结构的设计,达到简化设计的目的。适用于设计的各个阶段和层次复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室规则性在电路级的体现;用倒相器和三态缓冲器构成的单元电路复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第二章第二章ASIC设计流程和方法设计流程和方法42.4.3 设计协调模块信号的标准化信号输入的驱动输入信号的寄存输出信号的寄存模块间的连接关系串接结构迭代结构条件选择复旦大学专用集成电路与系统实验室复旦大学专用集成电

24、路与系统实验室第二章第二章ASIC设计流程和方法设计流程和方法42.4.4模块定时采用公共时钟(同步时序)结构清晰较易验证可测性好关键路径复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第二章第二章ASIC设计流程和方法设计流程和方法42.5综合方法42.5.1概述对芯片高性能,高密度,高可靠性,设计周期的要求包含三个层次行为综合逻辑综合版图综合复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室复旦大学专用

25、集成电路与系统实验室复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第二章第二章ASIC设计流程和方法设计流程和方法42.5.3逻辑综合和逻辑优化综合过程是将VHDL描述转换成非优化约布尔等式的描述,也就是门级描述,读转换过程是综合软件自动完成的,其过程不受用户控制。RTL级描述-一般使用HDL硬件描述语言,从描述语句和结构特征来分析可归纳为以下几种情况:使用if then-else和case语句来控制流程;反复迭代层次字宽、位向量和位场串行和并行操作算术、逻辑运算和比较操作寄存器的规定和分配。复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室ENTITY cou

26、nter IS PORT(clk:IN STD_LOGIC;rs:IN STDJLOGIC;count_out:OUT STD_LOGIC_VECTOR(0 TO 2)END counter;ARCHITECTURE behav OF counter IS signal next_count:STD_LOGIC_VECTOR(2 DOWNTO 0)BEGIN IF rs=0 THEN count_out next_count next_count next_count next_count next_count next_count=000;END CASE;count_out MGA CBI

27、C FCCFPGA 用于量少,上市要求快的产品(NRE 和固定成本低,可变成本高(5倍于CBIC)CBIC,FCC 用于产量巨大的产品 (NRE 和固定成本高,但可变成本低,在巨大产量的分摊后,NRE 和固定成本变得不重要)复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第二章第二章ASIC设计流程和方法设计流程和方法2.7 设计指标-设计指标书的内容如下:ASIC芯片总体说明,包括以下细节:芯片及标识符;芯片功能及用途的简要说明;特性说明;ASIC的封装及管脚说明:芯片的封装说明及封装图;管脚名及管脚类型;管脚功能的简要说明;管脚信号特性的说明。复旦大学专用集成电路与系统实验

28、室复旦大学专用集成电路与系统实验室第二章第二章ASIC设计流程和方法设计流程和方法ASIC的使用说明:直流规格:包括电源电压、管脚电平和管脚接口特性。其中管脚电平,应指明读管脚采用的是TTL或CMOS或ECL类型的电平,同时应指明电压最小、最大值范围。交流规格:通常是指ASIC电路的工作频率,包括时钟频率以及输入信号的建立时间和保持时间,输出延迟时间,还包括其它关键信号的定时,例如最小脉冲宽度等。复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第二章第二章ASIC设计流程和方法设计流程和方法2.9 ASIC设计的综合因素考虑:ASIC 设计要求软硬件的折衷ASIC的实现方式采用

29、的制造工艺及工艺生产线(Foundry)测试封装开发费用和生产成本市场复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第二章第二章ASIC设计流程和方法设计流程和方法2.10深亚微米设计方法和设计技术2.10.1深亚微米工艺给集成电路设计带来的新问题元件模型变化电路元件延迟减小,互连线延迟增大(5070%,0.35um)串扰和噪声时钟线和电源线的影响功耗和散热问题铝线的电迁移造成连线断裂热载流子对ASIC可靠性的影响逻辑与物理的反复设计问题(0.8um-1次,0.5um-5次,0.35um-10次)复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第二章第二章AS

30、IC设计流程和方法设计流程和方法2.10深亚微米设计方法和设计技术2.10.2深亚微米设计方法和设计技术的改进高层次设计规划(Floorplanning)在行为级验证成功,进入寄存器传输级设计中生成RTL模块的物理抽象,进行预布局,结合物理特征,得到布局、时序及面积以及互连线信息,由此产生的综合优化的约束条件,便综合生成的门级时序得到较好的控制;经门级功能及时序验证,并生成门级物理抽象,设计规划进 行更精确的布局探索和各模块驱动、延迟的分析计算,并精确地得到关键路径的延时和电路时序;在物理级,将门级设计得到的驱动、延迟信息作一规划分析并作为时序驱动布局布线的约束条件。复旦大学专用集成电路与系统

31、实验室复旦大学专用集成电路与系统实验室第二章第二章ASIC设计流程和方法设计流程和方法综合优化技术-进人深亚微米设计阶段,由于互连线延迟超过单元延迟,综合技术必须考虑由此引起的时序问题,改进电路时序特性有以下几点措施:使用预布局得到的互连线模型替代原来的连线负载模型,原来模型是对指定工艺库单元的扇出和RC树的统计模型,它没有考虑深亚微米连线的种种影响,而互连模型是使用设计规则工具得到的互连特性模型。它能比较精确地反映互连延迟、分布特性及RC特性使用设计规划工具得到的时序约束和互连线模型去驱动综合优化过程,由此得到满足时序要求的综合结果。在物理级,将门级设计得到的驱动、延迟信息作一规划分析并作为

32、时序驱动布局布线的约束条件复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第二章第二章ASIC设计流程和方法设计流程和方法将综合技术与版图设计算法结合起来,产生基于布局的物理综合工具,它将时序约束、逻辑网表和布局拓扑关系一起进行分析、调整。例如,根据电路驱动与负载情况,调整缓冲器和驱动单元的大小私布局;根据时序要求,减少可能存在的长连线及并行走线,减少时钟线的影响,生成较优的时钟树布局。总之,使布局布线能满足电路的时序要求。采用行为级综合技术,这种高层次综合的任务是实现从系统级算法描述到底层结果级表示的转换,其核心技术是调度和分配。调度(scheduling)是将操作贼给所指定

33、的控制步,在满足约束条件下使得给定的目标函数(例如控制步数、硬件资源、延迟和功耗)最小。分配是将操作和数据赋给相应的功能单元和寄存器,其目标是便所占用的硬件资源最少。复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第二章第二章ASIC设计流程和方法设计流程和方法模拟技术-模拟是设计的基础,从行为级、RTL级到门级,从逻辑功能摸拟、时序模拟到故障模拟,模拟过程就是验证的过程。对于深亚微米设计,设计的数据巨量增加,电路的时序复杂性等对模拟技术提出更高的要求。总的来说,近年来模拟技术有以下几方面的发展:传统的线性延迟模型不再适用,需要建立考虑高速、低电压、低功耗以及负载和工艺影响的模

34、型;精碗的模型可以保证电路功能和时序的设计正确,这是ASIC设计过程的核心门级模拟过程变为先进行单位延迟的功能模拟,得到门级网表,然后使用设计规划工具估算由于互连线、负载、输入信号变化速率等影响产生的延迟信息,再将它们和网表及功能模型一起模拟,得到门级功能和时序结果复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第二章第二章ASIC设计流程和方法设计流程和方法采用基于时钟的节拍式(Cycle-Based)模拟技术,它比传统使用的事件驱动技术耍快几个量级。这种技术通常适用于同步电路的功能验证,但不能作时序验证。Synopsys公司近年推出的CycloneRTL级迷你软件,是利用高

35、层次节拍模拟技术开发的,适用于VLSI的RTL级设计及相应的测试程序进行仿真,由于不需要象常规的将RTL级描述转换为门级描述长时间的编译步骤,使模拟时间大大缩短。深亚微米电路的时序分析成为设计的中心问题,静态时序分析是解决电路时序问题行之有效的方法复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第二章第二章ASIC设计流程和方法设计流程和方法布图技术-布图技术是集成电路芯片物理设计的关键技术,虽然早在八十年代;已经实现了布局布线自动化,但由于深亚微米设计中又出现了时序问题和设计数据量巨大的问题,显然采用以前的布图技术是无法解决:时序驱动(Timing Driven)和性能驱动(

36、PerformanceDriven)是近几年布图技术发展的方向。对于VLSI芯片设计来说,希望有一种快速的时序驱动,性能驱动的布局布线技术,这样可以在短时间内试探多种布图的可能性,而且可以将快速预布局、预布线得到的结果反馈给综合优化工具,对网表和时序进行优化,这种技术也是物理设计规划工具的基础进入深亚微米阶段,使用层次化设计和购买知识产权(IP)模块的可能性增多,因此布局布线工具应能灵活地处理模块,能够把IP模块、第三方厂商提供的模块和设计人员自已开放的模块有机地组合起来复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第二章第二章ASIC设计流程和方法设计流程和方法深亚微米的布

37、线设计是一重要课题,金属线的层数已从二、三层上 升到六层左右。因此首先要支持多层布线,可以进行通道式或基于区域的布线,也可以是二者混合型的。通道式布线是一传统方法,它要求单元排列成行,行与行之间留出互连线通道,通道宽度可以调节,以保证100%约有通率。另一种区域布线是假设单元布局固定,在确定的区域内完成布线。前一种方法无法预测芯片大小,后一种方法比较死板苛求两者的优化组合是所谓混合型布线方法。布线还要考虑采用宽线条克服 电迁移问题,避免高频串扰,以及对平行线分布电容计算,对电源线、时钟线也应合理分布,以保证时序要求总之提高布图质量和布通率,满足时序要求是布图的目标。复旦大学专用集成电路与系统实

38、验室复旦大学专用集成电路与系统实验室第二章第二章ASIC设计流程和方法设计流程和方法内嵌式系统和软硬件协同开发技术-内嵌式式系统是硬件与软件协同设计实现特定要求的系统,在内嵌式系统中,通常包括有微处理器模块、专用电路模块以及存放应用软件代码的ROM、RAM等。在设计过程中需要硬件与软件紧密配合,共同完成一定的电路功能,所以也称为硬软件协同设计设计重用方法(Design Reuse)-片上系统的设计是极其复杂的,采用设计重用方法是行之有效的。设计重用方法是将 ASIC设计中核心部分的设计可以不用修改或只作少量修改就可用在其它的设计之中。也就是说,对于一些有价值的模块或IP(Intellectua

39、l Property),设计一次,可以便用多次。设计重用在概念上是简单的,但是实现起来也有一定的难度。首先 设计重用方法需要一定的设计环境和设计工具,常规的Top-Down设计方法应作适当扩展。首先要建立设计重用模块的系统级模型及相应的模 块库,也就是用VHDL或Verilog语言编写行为级模型,进行行为级验证然后是综合优化,直到物理设计和工艺制造,经测试和试用证实设计正确无误后,复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第二章第二章ASIC设计流程和方法设计流程和方法才能把该模块的行为级模型、RTL级模型等存人重用模块库。因此设计工具应具有对重用模块的建立,修改,调用和

40、管理的功能 也应具有对重用模块和其它方式生成的模块协同设计和界面格式转换的能力。设计重用的应用一般有两种情况。一种情况是重用模块包含了行为级模块和RTL模块,也就是事先已经把模块的行为级描述综合成适合于某一工艺过程的形式,设计时只需要将ASlC行为级模型分配成专用工艺过程的RTL级描述,然后调用所需的RTL级模块,一起进行逻辑综合.第二种情况是针对某一特定工艺过程,将设计重用模块除了硬件块外还有软件块。软件块是执行特定操作的一般程序。例如微处理机中的微程序,它们通常以代码形式放置在ROM中,这种软件硬化的ROM也称为固件,因此软件块一般以ROM形式出现。复旦大学专用集成电路与系统实验室复旦大学

41、专用集成电路与系统实验室第二章第二章ASIC设计流程和方法设计流程和方法复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第二章第二章ASIC设计流程和方法设计流程和方法设计重用也是深亚微米设计的主要方法,设计重用也是对IP的再使用,通常可以把设计成功的子模块建成一个核心模块库,以便在以后的ASIC RTL级设计中调用。常用的核心模块可以有MPU、DSP、A/D、D/A、RAM、ROM、输入/输出接口以及加法器、乘法器等。设计重用方法对于超大规模或更大规模的集成电路设计尤其适用。2.11集成电路 CAD技术发展概况计算机辅助设计(CAD)计算机辅助测试(CAT)计算机辅助工程(C

42、AE)计算机辅助制造(CAM)电子设计自动化-EDA(Electronics Design Automation)复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第二章第二章ASIC设计流程和方法设计流程和方法电子设计自动化-EDA(Electronics Design Automation)第一代-绘图及版图图形编辑,七十年代IC发展初期第二代-逻辑模拟和版图设计自动化,八十年代,集成电路巳从中规模发展到大规模第三代-概念驱动设计和Top-Down的设计方法l;从八十年代后期第四代-深亚微米设计技术复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第二章第二章A

43、SIC设计流程和方法设计流程和方法复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第四章第四章 可编程可编程ASIC44.1概述可编程逻辑器件(programable Logic Device)简称PLD70年代 PROM,PLA,PAL80年代初 GAL Latice 公司84年 EPLD(CPLD)Altera 公司85年 FPGA Xilinx 公司90年代0.18um,1.8V,56层布线,几百万门,速度200MHz,内部RAM,片内DLL,丰富的布线资源.强大的EDA软件和IP支持,朝高速,高密度,低功耗,大容量方向发展 复旦大学专用集成电路与系统实验室复旦大学专用集

44、成电路与系统实验室第四章第四章 可编程可编程ASIC44.1概述可编程ASIC(FPGA,CPLD)特点规模较大(几千门几百万门)适用于时序,组合等各种逻辑电路大部分具有重复特性设计周期短,风险小,设计费用低现场和在系统编程复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第四章第四章 可编程可编程ASIC44.2可编程ASIC器件的结构,资源和分类 44.2.1基本结构可编程ASIC器件包含有三种编程资源:可编程逻辑功能块(LOGIC FUNCTION BLOCKS)可编程输入输出块(I/O BLOCKS)可编程连线资源(INTERCONECT)复旦大学专用集成电路与系统实验室

45、复旦大学专用集成电路与系统实验室第四章第四章 可编程可编程ASIC复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第四章第四章 可编程可编程ASIC复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第四章第四章 可编程可编程ASIC4可编程逻辑功能块(LOGIC FUNCTION BLOCKS)可编程逻辑块是ASIC器件实现逻辑功能的主要部分。目前的可编程ASIC器件中有三种不同类型的基本逻辑单元基于查找表的逻辑单元结构基于多路选择器的逻辑单元结构。传统可编程阵列逻辑。复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第四章第四章 可编程可编程ASI

46、C4可编程输入一输出块I/O提供外部封装腿与内部逻辑块之间的接口。I/O的设计须考虑许多要求支持输入、输出、双向、集电极开路和三态输出模式与同一生产厂家的其它可编程ASIC系列芯片接口可根据需要选择高驱动能力高速或低功耗、低噪声等等。要求1/0块能兼容多个电压标准复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第四章第四章 可编程可编程ASIC4可编程连线资源提供逻辑功能块与逻辑功能块之间及逻辑功能块与I/O之间的连线。连线资源的延迟特性直接影响芯片的性能。按布线延迟可否预先估算,可编程互连资源可分为统计型和确造型二类复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实

47、验室第四章第四章 可编程可编程ASIC44.2.2编程技术-可编程逻辑器件是通过可编程开关来实现器件内部连线和逻辑功能块的编程控制。习惯上把编程开关的实现方法称为编程技术。可编程ASIC的编程技术主要可分为静态RAM (SRAM)编程技术浮栅编程技术反熔丝编程技术 复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第四章第四章 可编程可编程ASIC4SRAM编程技术SRAM编程技术是由静态存贮单元来实现编程控制的。对芯片内阵列分布的SRAM加载不同的配置数据,芯片可实现不同的逻辑功能。编程控制是用SRAM单元去控制传输门或多路选择器,每个静态存储单元载入配置数据中的一位,控制FP

48、GA逻辑单元阵列中的一个编程选择。采用SRAM编程技术可以重复编程,且电路编程构造与再构造的速度很快复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第四章第四章 可编程可编程ASIC复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第四章第四章 可编程可编程ASIC4SRAM编程技术采用SRAM编程技术,芯片一旦断电,SRAM编程数据就会丢失,因此使用时需要在ASIC芯片外附加一个非易失性的存储器。通常用一个PROM或EPROM器件实现。并且由于内部编程控制使用大量的传输门开关,使电阻较大,对信号的传输速度有一定影响。每个SRAM编程点一般需要6-7个NMOS管实

49、现,因此芯片的面积相对较大。复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第四章第四章 可编程可编程ASIC复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第四章第四章 可编程可编程ASIC采用SRAM 编程技术时,通常将一定格式的配置数据存放于ASIC芯片外附加的PROM或EPROM中,在系统加电进行配置时,将配置数据加入ASIC芯片内的SRAM单元中,亦可由微处理器控制,直接将数据加载SRAM单元中目前采用SRAM编程技术的ASIC产品,主要有XilinxFPGA各个系列,AlteraFLEX各个系列和APEX系列的产品以及AT&T公司的DRCA系列产品等

50、。Actel的系统可编程门阵列(SPGA)也采用了SRAM编程技术复旦大学专用集成电路与系统实验室复旦大学专用集成电路与系统实验室第四章第四章 可编程可编程ASIC4反熔丝(Antituse)编程技术反熔丝编程技术是相对于熔丝技术而提出的。熔丝技术用于PROM,PLD器件中,编程时把熔丝编程器件的熔丝烧断。反熔丝技术则相反,编程前,编程器件呈现十分高的阻抗(100M),当加上编程电压时,则建立低电阻(500),处于永久的导通状态,因而是一次性编程的。反熔丝编程的优点:开关面积小,导通电阻低。不需要附加PROM或EPROM,保密性好。主要缺点是一次性编程,成本相对提高。复旦大学专用集成电路与系统

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 生活休闲 > 生活常识

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com