第五讲 VHDL编程基础(3).ppt

上传人:qwe****56 文档编号:70019831 上传时间:2023-01-14 格式:PPT 页数:24 大小:245KB
返回 下载 相关 举报
第五讲 VHDL编程基础(3).ppt_第1页
第1页 / 共24页
第五讲 VHDL编程基础(3).ppt_第2页
第2页 / 共24页
点击查看更多>>
资源描述

《第五讲 VHDL编程基础(3).ppt》由会员分享,可在线阅读,更多相关《第五讲 VHDL编程基础(3).ppt(24页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、EDA技术技术讲授:伍宗富讲授:伍宗富 E D A 技技 术术 课课 程程 教教 学学n讲授:伍宗富1/14/2023湖南文理学院电气与信息工程学院EDA技术技术讲授:伍宗富讲授:伍宗富第第 五五 讲讲 VHDLVHDL编程基础(编程基础(3 3)教学目的教学目的:使学生掌握使学生掌握VHDLVHDL子程序、库、程序包及描述风格子程序、库、程序包及描述风格。教学重点教学重点:VHDLVHDL子程序、库、程序包及描述风格子程序、库、程序包及描述风格。教学难点教学难点:子程序子程序、程序包程序包。教学方法教学方法:讲授法、计算机辅助法。讲授法、计算机辅助法。课时计划课时计划:2 2学时学时 使用教

2、材使用教材:EDAEDA技术及应用谭会生等西安:西安电子科技大学出版社技术及应用谭会生等西安:西安电子科技大学出版社 主要参考文献主要参考文献:1 1 徐光辉等徐光辉等CPLD/FPGACPLD/FPGA的开发和应用的开发和应用 MM北京:电子工业出版社北京:电子工业出版社 2 2 侯伯亨等侯伯亨等.VHDLVHDL硬件描述语言与数字逻辑电路设计硬件描述语言与数字逻辑电路设计 M.M.西安:西安电子科技大学出版社西安:西安电子科技大学出版社 3 3 http:/http:/ 4 4 周立功等周立功等SOPCSOPC嵌入式系统基础教程嵌入式系统基础教程 MM北京:北京航空航天大学出版社北京:北京

3、航空航天大学出版社EDA技术技术讲授:伍宗富讲授:伍宗富一、子程序一、子程序二、库、程序包及其他二、库、程序包及其他三、三、VHDL描述风格描述风格四、四、VHDL实际应用步骤实际应用步骤五、课堂小结五、课堂小结六、作业六、作业课题:课题:VHDL编程基础编程基础(3)EDA技术技术讲授:伍宗富讲授:伍宗富一、子程序(一、子程序(SUBPROGRAM)v子程序子程序是一个是一个VHDL程序模块程序模块。子程序。子程序不能不能从所在的结构体的其他从所在的结构体的其他块块或或进程进程结构中结构中直接读取信号值直接读取信号值或者或者向信号赋值向信号赋值,只能通过子程序,只能通过子程序调用调用及与子及

4、与子程序的程序的界面端口界面端口进行通信。进行通信。VHDL子程序具有子程序具有可重载性可重载性的特点,即允许有的特点,即允许有许多重名的子程序,但这些子程序的参数类型及返回值数据类型是不同的。许多重名的子程序,但这些子程序的参数类型及返回值数据类型是不同的。1.函数(函数(FUNCTION)定义格式:定义格式:FUNCTION 函数名(参数表)函数名(参数表)RETURN 数据类型;数据类型;-函数首函数首 FUNCTION 函数名(参数表)函数名(参数表)RETURN 数据类型数据类型 IS -函数体函数体开始开始 说明部分说明部分;BEGIN 顺序语句;顺序语句;END FUNCTION

5、 函数名;函数名;-函数体结束函数体结束注:如果要将一个已编制好的注:如果要将一个已编制好的函数并入程序包函数并入程序包,函数首函数首必须放在必须放在程序包程序包的的说说明部分明部分,而,而函数体函数体需放在程序包的需放在程序包的包体内包体内。如果。如果只只是在一个是在一个结构体结构体中中定义并定义并调用函数调用函数,则仅需,则仅需函数体函数体即可。由此可见,函数首的作用只是作为程序包的即可。由此可见,函数首的作用只是作为程序包的有关此函数的一个接口界面。有关此函数的一个接口界面。EDA技术技术讲授:伍宗富讲授:伍宗富 【例】三个不同的【例】三个不同的函数首函数首,放放在某一在某一程序包程序包

6、的的说明部分说明部分。FUNCTION FOUC1(A,B,C:REAL)RETURN REAL;FUNCTION “*”(A,B:INTEGER)RETURN INTEGER;-注意注意函数名是运算符必须加上双引号函数名是运算符必须加上双引号 FUNCTION AS2(SIGNAL IN1,IN2:REAL)RETURN REAL;-注意注意信号参量的写法信号参量的写法1.函数(函数(FUNCTION)一、子程序(一、子程序(SUBPROGRAM)【例】【例】结构体结构体中中定义并调用函数定义并调用函数,仅需仅需函数体函数体即可即可 ARCHITECTURE ART OF FUNC IS F

7、UNCTION SAM(X,Y,Z:BIT)RETURN BIT IS BEGIN RETURN(X AND Y)OR Y;END FUNCTION SAM;BEGIN PROCESS(A)BEGIN M(0)=SAM(A(0),A(1),A(2);-当当A的的3个位输入元素个位输入元素A(0)、A(1)和和A(2)中的中的 M(1)=SAM(A(2),A(0),A(1);-任何一位有变化时,将启动对函数任何一位有变化时,将启动对函数SAM的的 M(2)=SAM(A(1),A(2),A(0);-调用,并将函数的返回值赋给调用,并将函数的返回值赋给M输出输出 END PROCESS;END AR

8、CHITECTURE ART;EDA技术技术讲授:伍宗富讲授:伍宗富一、子程序(一、子程序(SUBPROGRAM)2.重载函数(重载函数(OVERLOADED FUNCTION)重载函数是指重载函数是指 VHDL允许以允许以相同相同的的函数名函数名定义函数,允许定义函数,允许不同的数据类型不同的数据类型之间进行之间进行运算运算。【例】【例】4位二进制加法计数器位二进制加法计数器 LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;-打开打开不同的数据类型不同的数据类型之间进行之间进行运算运算程序包程序

9、包 ENTITY CNT4 IS PORT(CLK:IN STD_LOGIC;Q:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0);END CNT4;ARCHITECTURE ONE OF CNT4 IS BEGIN PROCESS(CLK)BEGIN IF CLKEVENT AND CLK=1 THEN IF Q=15 THEN -Q两边的两边的数据类型不一致数据类型不一致,程序程序自动调用自动调用了了重载函数重载函数 Q=“0000”;ELSE Q VALUE:=“0101”;WHEN“0101”=VALUE:=“0000”;WHEN OTHERS=VALUE:=“1

10、111”;END CASE;END PROCEDURE PRG1;EDA技术技术讲授:伍宗富讲授:伍宗富两个或两个以上有两个或两个以上有相同的过程名相同的过程名和和互不相同的参数数量及数互不相同的参数数量及数据类型的过程据类型的过程称为重载过程。对于称为重载过程。对于重载过程重载过程,也是,也是靠参量类型靠参量类型来来辨别辨别究竟究竟调用调用哪一个过程。哪一个过程。一、子程序(一、子程序(SUBPROGRAM)4.重载过程(重载过程(OVERLOADED PROCEDURE)【例】【例】PROCEDURE CAL(V1,V2:IN REAL;SIGNAL OUT1:INOUT INTEGER)

11、;PROCEDURE CAL(V1,V2:IN INTEGER;SIGNAL OUT1:INOUT REAL);CAL(20.15,1.42,S1);-调用第一个重载过程调用第一个重载过程CAL,S1为为INOUT式的式的整数整数信号信号CAL(23,320,S2);-调用第二个重载过程调用第二个重载过程CALCAL,S2S2为为INOUTINOUT式的式的实数实数信号信号EDA技术技术讲授:伍宗富讲授:伍宗富1.库(库(LIBRARY)库是一种用来库是一种用来存储预先存储预先完成的完成的程序包程序包和和数据集合体数据集合体的仓库。的仓库。二、库、程序包及其他二、库、程序包及其他1)IEEE库

12、库 IEEE库是库是VHDL设计中最为常见的库,它包含有设计中最为常见的库,它包含有IEEE标准的程序包和标准的程序包和其他一些支持工业标准的程序包。一般基于大规模可编程逻辑器件的数字系其他一些支持工业标准的程序包。一般基于大规模可编程逻辑器件的数字系统设计,统设计,IEEE库中的库中的4个程序包个程序包STD_LOGIC_1164、STD_LOGIC_ARITH、STD_LOGIC_SIGNED和和STD_LOGIC_UNSIGNED已经足够使用,在已经足够使用,在使用使用VHDL设计实体的设计实体的前前面面必须必须以以显式表达出来显式表达出来。2)STD库库 VHDL语言标准定义了两个标准

13、程序包,即语言标准定义了两个标准程序包,即STANDARD和和TEXTIO程序程序包,它们都被收入在包,它们都被收入在STD库中。只要在库中。只要在VHDL应用环境中,可随时调用这两应用环境中,可随时调用这两个程序包中的所有内容,即在个程序包中的所有内容,即在编译和综合编译和综合过程中,过程中,VHDL的每一项设计都的每一项设计都自自动动地将其地将其包含进去包含进去了。由于了。由于STD库符合库符合VHDL语言标准,在应用中语言标准,在应用中不必不必如如IEEE库那样库那样以显式表达出来以显式表达出来。3)WORK库库 WORK库是用户的库是用户的VHDL设计的现行工作库,用于设计的现行工作库

14、,用于存放用户设计和定义存放用户设计和定义的一些设计单元和程序包的一些设计单元和程序包。因此自动满足。因此自动满足VHDL语言标准,在实际调用中,语言标准,在实际调用中,不必以显式预先说明不必以显式预先说明。4)VITAL库库 使用使用VITAL库,可以提高库,可以提高VHDL门级时序模拟的精度,因而门级时序模拟的精度,因而只在只在VHDL仿真器中使用仿真器中使用。基于。基于实用实用的观点,在的观点,在FPGA/CPLD设计开发过程中,一般设计开发过程中,一般并并不需要不需要VITAL库中的程序包。库中的程序包。EDA技术技术讲授:伍宗富讲授:伍宗富LIBRARY语句格式:语句格式:LIBRA

15、RY 库名;库名;USE使用格式:使用格式:USE 库名库名.程序包名程序包名.项目名;项目名;-向本设计实体向本设计实体开放开放指定库中的特定程序包内所指定库中的特定程序包内所选定的项目选定的项目 USE 库名库名.程序包名程序包名.ALL;-向本设计实体向本设计实体开放开放指定库中的特定程序包内指定库中的特定程序包内所有的内容所有的内容二、库、程序包及其他二、库、程序包及其他1.库(库(LIBRARY)【例】【例】LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.STD_ULOGIC;USE IEEE.STD_LOGIC_1164.RISING_EDGE;【例】【例

16、】LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;EDA技术技术讲授:伍宗富讲授:伍宗富2.程序包(程序包(PACKAGE)为了使已定义的常数、数据类型、元件调用说明以及子程为了使已定义的常数、数据类型、元件调用说明以及子程序能被更多的序能被更多的VHDL设计实体设计实体方便方便地地访问访问和和共享共享,可以将它们,可以将它们收集在一个收集在一个VHDL程序包中。程序包中。多个程序包多个程序包可以可以并入并入一个一个VHDL库库中,使之适用于更一般的访问和调用范围。这一点对于大系统中,使之适用于更

17、一般的访问和调用范围。这一点对于大系统开发,多个或多组开发人员并行工作显得尤为重要。开发,多个或多组开发人员并行工作显得尤为重要。程序包的内容主要由如下四种基本结构组成,因此一个程序程序包的内容主要由如下四种基本结构组成,因此一个程序包中至少应包含以下结构中的一种。包中至少应包含以下结构中的一种。常数说明常数说明:主要用于主要用于预定义系统的宽度预定义系统的宽度。例如例如数据总线数据总线通道的宽度。通道的宽度。数据类型说明数据类型说明:主要用于说明在整个设计中主要用于说明在整个设计中通用通用的的数据类型数据类型。例如例如通用的地址总线数据类型定义通用的地址总线数据类型定义等。等。元件定义元件定

18、义:主要规定在主要规定在VHDL设计中参与设计中参与元件例化元件例化的文件的文件 (已完成的设计实体)(已完成的设计实体)对外对外的的接口接口界面。界面。子程序说明子程序说明:用于用于说明说明在设计中任一处在设计中任一处可调用可调用的的子程序子程序。二、库、程序包及其他二、库、程序包及其他EDA技术技术讲授:伍宗富讲授:伍宗富定义程序包的一般语句结构:定义程序包的一般语句结构:-程序包首程序包首 PACKAGE 程序包名程序包名 IS-程序包首开始程序包首开始 程序包首说明部分程序包首说明部分 END 程序包名;程序包名;-程序包首结束程序包首结束-程序包体程序包体 PACKAGE BODY

19、程序包名程序包名 IS-程序包体开始程序包体开始 程序包体说明部分以及包体内容程序包体说明部分以及包体内容 END 程序包名;程序包名;-程序包体结束程序包体结束二、库、程序包及其他二、库、程序包及其他2.程序包(程序包(PACKAGE)程序包首程序包首:程序包首程序包首的的说明部分说明部分可可收集收集多个不同的多个不同的VHDL设计设计所需的公所需的公共信息,其中包括共信息,其中包括数据类型说明数据类型说明、信号说明信号说明、子程序说明子程序说明及及元件说明元件说明等。等。程序包结构中,程序包体程序包结构中,程序包体并非并非是是必须必须的,程序包首的,程序包首可以独立定义和使用可以独立定义和

20、使用。程序包体程序包体:程序包体用于程序包体用于定义定义在在程序包首程序包首中已定义的子程序的子程序体。中已定义的子程序的子程序体。程序包体说明部分的组成可以是程序包体说明部分的组成可以是USE语句语句(允许对其他程序包的调用允许对其他程序包的调用)、子程序子程序定义、子程序体、数据类型说明、子类型说明和常数说明定义、子程序体、数据类型说明、子类型说明和常数说明等。对于没有子程序等。对于没有子程序说明的程序包体可以省去。程序包常用来封装属于多个设计单元分享的信息,说明的程序包体可以省去。程序包常用来封装属于多个设计单元分享的信息,程序包定义的信号、变量不能在设计实体之间共享程序包定义的信号、变

21、量不能在设计实体之间共享。如:。如:STD_LOGIC_1164程序包、程序包、STD_LOGIC_ARITH程序包、程序包、STD_LOGIC_UNSIGNED和和STD_LOGIC_SIGNED程序包、程序包、STANDARD和和TEXTIO程序包程序包 EDA技术技术讲授:伍宗富讲授:伍宗富【例】【例】程序包首应用程序包首应用PACKAGE PAC1 IS -程序包首开始程序包首开始 TYPE BYTE IS RANGE 0 TO 255;-定义数据类型定义数据类型 BYTE SUBTYPE BYTE1 IS BYTE RANGE 0 TO 15;-定义子类型定义子类型 BYTE1 CO

22、NSTANT C1:BYTE:=255;-定义常数定义常数 C1 SIGNAL S1:BYTE1;-定义信号定义信号 S1 COMPONENT BYTE_ADDER -定义元件定义元件 PORT(A,B:IN BYTE;C:OUT BYTE;OVERFLOW:OUT BOOLEAN););END COMPONENT;FUNCTION MY_FUNCTION(A:IN BYTE)RETURN BYTE;-定义函数定义函数END PAC1;-程序包首结束程序包首结束-使用这个程序包中的所有定义,可用使用这个程序包中的所有定义,可用USE语句访问此程序包:语句访问此程序包:LIBRARY WORK;

23、-此句可省去此句可省去USE WORK.PAC1.ALL;ENTITYARCHITECTURE二、库、程序包及其他二、库、程序包及其他2.程序包(程序包(PACKAGE)EDA技术技术讲授:伍宗富讲授:伍宗富【例】【例】在现行在现行WORK库中定义程序包并立即使用的示例。库中定义程序包并立即使用的示例。PACKAGE SEVEN IS -定义程序包定义程序包 SUBTYPE SEGMENTS IS BIT_VECTOR(0 TO 6);TYPE BCD IS RANGE 0 TO 9;END SEVEN;USE WORK.SEVEN.ALL;-打开程序包,以便后面使用打开程序包,以便后面使用E

24、NTITY DECODER IS PORT(INPUT:BCD;DRIVE:OUT SEGMENTS);END DECODER;ARCHITECTURE ART OF DECODER ISBEGINWITH INPUT SELECT-4位位BCD数向数向7段译码显示码转换段译码显示码转换DRIVE=B“1111110”WHEN 0,B“0110000”WHEN 1,B“1101101”WHEN 2,B“1111001”WHEN 3,B“0110011”WHEN 4,B“1011011”WHEN 5,B“1011111”WHEN 6,B“1110000”WHEN 7,B“1111111”WHEN

25、 8,B“1111011”WHEN 9,B“0000000”WHEN OTHERS;END ARCHITECTURE ART;2.程序包(程序包(PACKAGE)EDA技术技术讲授:伍宗富讲授:伍宗富v 配置配置可以把可以把特定的结构体特定的结构体指定给一个指定给一个确定的实体确定的实体。通常在。通常在大而复杂的大而复杂的VHDL工程设计中,配置语句可以为工程设计中,配置语句可以为实体指定或实体指定或配置一个结构体配置一个结构体。如可利用配置使仿真器为同一实体配置不。如可利用配置使仿真器为同一实体配置不同的结构体以使设计者比较不同结构体的仿真差别,或者为同的结构体以使设计者比较不同结构体的仿真

26、差别,或者为例化的各元件实体配置指定的结构体例化的各元件实体配置指定的结构体,从而形成一个所,从而形成一个所希望希望的例化元件层次构成的的例化元件层次构成的设计实体设计实体。二、库、程序包及其他二、库、程序包及其他3.配置(配置(CONFIGURATION)v VHDL综合器允许将综合器允许将配置配置规定为一个设计实体中的最高规定为一个设计实体中的最高层设计单元,但层设计单元,但只支持只支持对对最顶层的实体最顶层的实体进行进行配置配置。配置语句格式:配置语句格式:CONFIGURATION 配置名配置名 OF 实体名实体名 IS 配置说明配置说明 END 配置名配置名;v 每个每个实体实体可可

27、以拥有以拥有多个多个不同的不同的结构体结构体,而每个结构体的地,而每个结构体的地位是相同的,在这种情况下,可以利用位是相同的,在这种情况下,可以利用配置说明配置说明为这个实体为这个实体指定指定一个一个结构体结构体。EDA技术技术讲授:伍宗富讲授:伍宗富【例】【例】配置应用配置应用LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY NAND IS PORT(A:IN STD_LOGIC;B:IN STD_LOGIC;C:OUT STD_LOGIC);END ENTITY NAND;ARCHITECTURE ART1 OF NAND IS BEGIN C

28、=NOT(A AND B);END ARCHITECTURE ART1;ARCHITECTURE ART2 OF NAND IS BEGIN CS,B=QF,C=Q);U2:NAND PORT MAP(A=Q,B=R,C=QF);END RSF CONFIGURATION SEL OF RS1 IS FOR RSF FOR U1,U2:NAND USE ENTITY WORK.NAND(ART2);END FOR;END FOR;END SEL;3.配置(配置(CONFIGURATION)EDA技术技术讲授:伍宗富讲授:伍宗富三、三、VHDL描述风格描述风格1.行为描述行为描述在结构体中用在结

29、构体中用不同的语句类型和描述方式不同的语句类型和描述方式来表达所希望的来表达所希望的电路功能电路功能【例】【例】带异步复位功能的带异步复位功能的8位二进制加法计数器的行为描述位二进制加法计数器的行为描述LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT8B IS PORT(RESET,CLOCK:IN STD_LOGIC;Q8:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);END CNT8B;ARCHITECTURE ART OF CNT8B IS SIGNA

30、L S1:UNSIGED(7 DOWNTO 0);BEGIN PROCESS(CLOCK,RESET,S1)BEGIN IF RESET=1 THEN S1=X“00;ELSIF(CLOCK=1AND CLOCKEVENT)THEN S1=S1+1;END IF;END PROCESS;Q8=STD_LOGIC_VECTOR(S1);END ARCHITECTURE ART;程序中,程序中,不存在不存在任何任何与与硬件硬件选择选择相关相关的的语语句,句,也不存在任何有也不存在任何有关硬件内部连线方面关硬件内部连线方面的语句。整个程序中,的语句。整个程序中,从表面上看不出是否从表面上看不出是否引

31、入寄存器方面的信引入寄存器方面的信息,或是使用组合逻息,或是使用组合逻辑还是时序逻辑方面辑还是时序逻辑方面的信息,的信息,只只是是对对所所设设计的电路系统计的电路系统的的行为行为功能作了描述功能作了描述,不涉,不涉及任何具体器件方面及任何具体器件方面的内容,这就是所谓的内容,这就是所谓的的行为描述方式行为描述方式,或,或行为描述风格。行为描述风格。EDA技术技术讲授:伍宗富讲授:伍宗富三、三、VHDL描述风格描述风格2.数据流描述数据流描述数据流描述,也称数据流描述,也称RTL描述描述,它是以类似于,它是以类似于寄存器传输级寄存器传输级的方式描述的方式描述数据的传输和变换,以规定设计中的各种寄

32、存器形式为特征,然后数据的传输和变换,以规定设计中的各种寄存器形式为特征,然后在寄在寄存器之间插入组合逻辑存器之间插入组合逻辑。【例】【例】一位全加器的一位全加器的数据流描述数据流描述 LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY ADDER1B IS PORT(A,B,CIN:IN BIT SUM,COUT:OUT BIT);END ADDER1B;ARCHITECTURE ART OF ADDER1B IS SUM=A XOR B XOR CIN;COUNTa8(3 downto 0),b4=b8(3 downto 0),c4=c8,s4=

33、s8(3 downto 0),co4=carr);u2:adder42 port map(a4=a8(7 downto 4),b4=b8(7 downto 4),c4=carr,s4=s8(7 downto 4),co4=co8);end hav;EDA技术技术讲授:伍宗富讲授:伍宗富n 在此我们以在此我们以Alter公司的公司的MAX+plus高度集成化的可编高度集成化的可编程逻辑器件开发系统进行学习,它可以开发程逻辑器件开发系统进行学习,它可以开发Alter公司的公司的MAX系列系列和和FLEX系列的可编程器件。系列的可编程器件。n 1.MAX+plus10.2的安装的安装 n 可根据软件

34、的提示进行安装。可根据软件的提示进行安装。注意注意:要将:要将Altera公司的公司的授权文件授权文件license.dat复制到复制到MAX+plusII的安装目录的安装目录,打开,打开MAX+plusII,选择菜单命令选择菜单命令Option/License Setup,则弹则弹出出License Setup对话框,在对话框,在“License File or Server Name”对话框中填入对话框中填入license.dat文件的路径及文件名,单击文件的路径及文件名,单击OK按按钮。至此,钮。至此,MAX+plusII安装完毕。安装完毕。四、四、VHDL实际应用步骤实际应用步骤EDA

35、技术技术讲授:伍宗富讲授:伍宗富2.VHDL实际应用步骤实际应用步骤n1)设计输入)设计输入 n 创建创建VHDL设计工程设计工程(建立工程文件夹)(建立工程文件夹)n 编辑编辑VHDL源程序文件源程序文件 n 将当前设计文件设置成工程文件将当前设计文件设置成工程文件 n2)选择目标器件)选择目标器件 n3)引脚锁定)引脚锁定 n4)编译文件)编译文件 n5)设计校验)设计校验 n6)器件配置与编程下载)器件配置与编程下载 四、四、VHDL实际应用步骤实际应用步骤EDA技术技术讲授:伍宗富讲授:伍宗富课堂小结课堂小结一、子程序一、子程序 (函数、重载函数、过程、重载过程)(函数、重载函数、过程、重载过程)二、库、程序包及其他二、库、程序包及其他三、三、VHDL描述风格描述风格 (行为描述、数据流描述、结构描述)(行为描述、数据流描述、结构描述)四、四、VHDL实际应用步骤实际应用步骤 (设计输入、选择目标器件、引脚锁定、(设计输入、选择目标器件、引脚锁定、编译文件、编译文件、设计校验设计校验、器件配置与编程下载器件配置与编程下载)EDA技术技术讲授:伍宗富讲授:伍宗富课外作业:课外作业:教材教材P210 P210 3.29、3.32、3.33

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 技术资料 > 其他杂项

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com