(精品)EDA技术实用教程第7章.ppt

上传人:s****8 文档编号:69874379 上传时间:2023-01-10 格式:PPT 页数:86 大小:1.23MB
返回 下载 相关 举报
(精品)EDA技术实用教程第7章.ppt_第1页
第1页 / 共86页
(精品)EDA技术实用教程第7章.ppt_第2页
第2页 / 共86页
点击查看更多>>
资源描述

《(精品)EDA技术实用教程第7章.ppt》由会员分享,可在线阅读,更多相关《(精品)EDA技术实用教程第7章.ppt(86页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、EDA技术实用教程技术实用教程第第 7 7 章章 宏功能模块与宏功能模块与IPIP应用应用 7.1 宏功能模块概述宏功能模块概述 K KX康芯科技康芯科技算术组件算术组件 累加器、加法器、乘法器和累加器、加法器、乘法器和LPMLPM算术函数算术函数 门电路门电路 多路复用器和多路复用器和LPMLPM门函数门函数 I/OI/O组件组件 时钟数据恢复时钟数据恢复(CDR)CDR)、锁相环锁相环(PLL)PLL)、双数据速率双数据速率(DDR)DDR)、千兆位收发器块千兆位收发器块(GXB)GXB)、LVDSLVDS接收器和发送器、接收器和发送器、PLLPLL重重新配置和远程更新宏功能模块新配置和远

2、程更新宏功能模块 存储器编译器存储器编译器 FIFOFIFO Partitioner Partitioner、RAMRAM和和ROMROM宏功能模块宏功能模块 存储组件存储组件 存储器、移位寄存器宏模块和存储器、移位寄存器宏模块和LPMLPM存储器函数存储器函数 7.1 宏功能模块概述宏功能模块概述 K KX康芯科技康芯科技7.1.1知识产权核的应用知识产权核的应用AMPPAMPP程序程序 MegaCore函数函数 OpenCoreOpenCore评估功能评估功能 OpenCoreOpenCore Plus Plus硬件评估功能硬件评估功能 7.1 宏功能模块概述宏功能模块概述 K KX康芯科

3、技康芯科技7.1.2使用使用MegaWizardPlug-InManager.bsf:BlockEditor中使用的宏功能模块的符号(元件)。中使用的宏功能模块的符号(元件)。.cmp:组件申明文件。组件申明文件。.inc:宏功能模块包装文件中模块的宏功能模块包装文件中模块的AHDL包含文件。包含文件。.tdf:要在要在AHDL设计中实例化的宏功能模块包装文件。设计中实例化的宏功能模块包装文件。.vhd:要在要在VHDL设计中实例化的宏功能模块包装文件。设计中实例化的宏功能模块包装文件。.v:要在要在VerilogHDL设计中实例化的宏功能模块包装文件。设计中实例化的宏功能模块包装文件。_bb

4、.v:VerilogHDL设计所用宏功能模块包装文件中模块的空体或设计所用宏功能模块包装文件中模块的空体或black-box申明,用于在使用申明,用于在使用EDA综合工具时指定端口方向。综合工具时指定端口方向。_inst.tdf:宏功能模块包装文件中子设计的宏功能模块包装文件中子设计的AHDL例化示例。例化示例。_inst.vhd:宏功能模块包装文件中实体的宏功能模块包装文件中实体的VHDL例化示例。例化示例。_inst.v:宏功能模块包装文件中模块的宏功能模块包装文件中模块的VerilogHDL例化示例。例化示例。7.1 宏功能模块概述宏功能模块概述 K KX康芯科技康芯科技7.1.3在在Q

5、uartusII中对宏功能模块进行例化中对宏功能模块进行例化1、在、在VerilogHDL和和VHDL中例化中例化2、使用端口和参数定义、使用端口和参数定义3、使用端口和参数定义生成宏功能模块、使用端口和参数定义生成宏功能模块计数器计数器乘乘-累加器和乘累加器和乘-加法器加法器加法加法/减法器减法器RAM乘法器乘法器移位寄存器移位寄存器7.2 宏模块应用实例宏模块应用实例 K KX康芯科技康芯科技7.2.1工作原理工作原理f=f0/64图图7-1正弦信号发生器结构框图正弦信号发生器结构框图7.2 宏模块应用实例宏模块应用实例 K KX康芯科技康芯科技7.2.2定制初始化数据文件定制初始化数据文

6、件1 1建立建立.mifmif格式文件格式文件 【例【例7-1】WIDTH=8;DEPTH=64;ADDRESS_RADIX=HEX;DATA_RADIX=HEX;CONTENT BEGIN0 :FF;1 :FE;2 :FC;3 :F9;4 :F5;(数据略去)数据略去)3D :FC;3E :FE;3F :FF;END;7.2 宏模块应用实例宏模块应用实例 K KX康芯科技康芯科技7.2.2定制初始化数据文件定制初始化数据文件1 1建立建立.mifmif格式文件格式文件 【例【例7-2】#include#include math.hmain()int i;float s;for(i=0;i10

7、24;i+)s=sin(atan(1)*8*i/1024);printf(%d:%d;n,i,(int)(s+1)*1023/2);7.2 宏模块应用实例宏模块应用实例 K KX康芯科技康芯科技7.2.2定制初始化数据文件定制初始化数据文件2 2建立建立.hexhex格式文件格式文件 图图7-2将波形数据填入将波形数据填入mif文件表中文件表中K KX康芯科技康芯科技2 2建立建立.hexhex格式文件格式文件 图图7-3ASM格式建格式建hex文件文件7.2 宏模块应用实例宏模块应用实例 K KX康芯科技康芯科技7.2.2定制初始化数据文件定制初始化数据文件2 2建立建立.hexhex格式文

8、件格式文件 图图7-4sdata.hex文件的放置路径文件的放置路径7.2 宏模块应用实例宏模块应用实例 K KX康芯科技康芯科技7.2.2定制初始化数据文件定制初始化数据文件7.2.3 7.2.3 定制定制LPM_ROM元件元件 图图7-5定制新的宏功能块定制新的宏功能块7.2 宏模块应用实例宏模块应用实例 K KX康芯科技康芯科技7.2.2定制初始化数据文件定制初始化数据文件7.2.3 7.2.3 定制定制LPM_ROM元件元件 图图7-6LPM宏功能块设定宏功能块设定K KX康芯科技康芯科技7.2.2定制初始化数据文件定制初始化数据文件7.2.3 7.2.3 定制定制LPM_ROM元件元

9、件 图图7-7选择选择data_rom模块数据线和地址线宽度模块数据线和地址线宽度7.2 宏模块应用实例宏模块应用实例 K KX康芯科技康芯科技7.2.2定制初始化数据文件定制初始化数据文件7.2.3 7.2.3 定制定制LPM_ROM元件元件 图图7-8选择地址锁存信号选择地址锁存信号inclock7.2 宏模块应用实例宏模块应用实例 K KX康芯科技康芯科技7.2.2定制初始化数据文件定制初始化数据文件7.2.3 7.2.3 定制定制LPM_ROM元件元件 图图7-9调入调入ROM初始化数据文件并选择在系统读写功能初始化数据文件并选择在系统读写功能7.2 宏模块应用实例宏模块应用实例 K

10、KX康芯科技康芯科技7.2.2定制初始化数据文件定制初始化数据文件7.2.3 7.2.3 定制定制LPM_ROM元件元件 图图7-10LPM_ROM设计完成设计完成K KX康芯科技康芯科技【例【例7-3】LIBRARY ieee;USE ieee.std_logic_1164.all;LIBRARY altera_mf;USE altera_mf.altera_mf_components.all;-使用宏功能库中的所有元件使用宏功能库中的所有元件ENTITY data_rom ISPORT(address :IN STD_LOGIC_VECTOR(5 DOWNTO 0);inclock :IN

11、 STD_LOGIC;q :OUT STD_LOGIC_VECTOR(7 DOWNTO 0);END data_rom;ARCHITECTURE SYN OF data_rom ISSIGNAL sub_wire0:STD_LOGIC_VECTOR(7 DOWNTO 0);COMPONENT altsyncram -例化例化altsyncram元件,调用了元件,调用了LPM模块模块altsyncramGENERIC(-参数传递语句参数传递语句intended_device_family:STRING;-类属参量数据类类属参量数据类型定义型定义width_a:NATURAL;widthad_a:

12、NATURAL;numwords_a:NATURAL;operation_mode:STRING;outdata_reg_a:STRING;address_aclr_a:STRING;接下页接下页K KX康芯科技康芯科技outdata_aclr_a:STRING;width_byteena_a:NATURAL;init_file:STRING;lpm_hint:STRING;lpm_type:STRING);PORT(clock0:IN STD_LOGIC;-altsyncram元件接口声明元件接口声明address_a:IN STD_LOGIC_VECTOR(5 DOWNTO 0);q_a:

13、OUT STD_LOGIC_VECTOR(7 DOWNTO 0);END COMPONENT;BEGINq Cyclone,-参数参数传递映射传递映射width_a=8,-数据线宽度数据线宽度8widthad_a=6,-地址线宽度地址线宽度6numwords_a=64,-数据数量数据数量64operation_mode=ROM,-LPM模式模式ROMoutdata_reg_a=UNREGISTERED,-输出无锁存输出无锁存address_aclr_a=NONE,-无异步地址清无异步地址清0outdata_aclr_a=NONE,-无输出锁存异步清无输出锁存异步清0width_byteena_

14、a=1,-byteena_a输入口宽度输入口宽度1init_file=“./dataHEX/SDATA.hex”,-ROM初始化数据文初始化数据文件,此处已修改过件,此处已修改过接下页接下页K KX康芯科技康芯科技lpm_hint=ENABLE_RUNTIME_MOD=YES,INSTANCE_NAME=NONE,lpm_type=altsyncram)-LPM类型类型PORT MAP(clock0=inclock,address_a=address,q_a=sub_wire0);ENDSYN;接上页接上页K KX康芯科技康芯科技7.2.4 7.2.4 完成顶层设计完成顶层设计 【例【例7-4

15、】正弦信号发生器顶层设计正弦信号发生器顶层设计LIBRARY IEEE;-正弦信号发生器源文件正弦信号发生器源文件USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY SINGT IS PORT(CLK :IN STD_LOGIC;-信号源时钟信号源时钟 DOUT:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);-8位波形数据输出位波形数据输出END;ARCHITECTURE DACC OF SINGT ISCOMPONENT data_rom -调用波形数据存储器调用波形数据存储器LPM_ROM

16、文件:文件:data_rom.vhd声明声明 PORT(address:IN STD_LOGIC_VECTOR(5 DOWNTO 0);-6位地址信号位地址信号 inclock:IN STD_LOGIC;-地址锁存时钟地址锁存时钟 q:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);END COMPONENT;SIGNAL Q1:STD_LOGIC_VECTOR(5 DOWNTO 0);-设定内部节点作为地址计数器设定内部节点作为地址计数器 BEGINPROCESS(CLK)-LPM_ROM地址发生器进程地址发生器进程 BEGINIF CLKEVENT AND CLK=1 T

17、HEN Q1Q1,q=DOUT,inclock=CLK);-例化例化END;7.2 宏模块应用实例宏模块应用实例 K KX康芯科技康芯科技7.2.2定制初始化数据文件定制初始化数据文件7.2.4 7.2.4 完成顶层设计完成顶层设计 图图7-11仿真波形输出仿真波形输出7.2 宏模块应用实例宏模块应用实例 K KX康芯科技康芯科技7.2.2定制初始化数据文件定制初始化数据文件7.2.4 7.2.4 完成顶层设计完成顶层设计 图图7-12嵌入式逻辑分析仪获得的波形嵌入式逻辑分析仪获得的波形7.3 在系统存储器数据读写编辑器应用在系统存储器数据读写编辑器应用 K KX康芯科技康芯科技图图7-13I

18、n-SystemMemoryContentEditor编辑窗编辑窗7.3 在系统存储器数据读写编辑器应用在系统存储器数据读写编辑器应用 K KX康芯科技康芯科技图图7-14与实验系统上的与实验系统上的FPGA通信正常情况下的编辑窗界面通信正常情况下的编辑窗界面7.3 在系统存储器数据读写编辑器应用在系统存储器数据读写编辑器应用 K KX康芯科技康芯科技图图7-15从从FPGA中的中的ROM读取波形数据读取波形数据7.3 在系统存储器数据读写编辑器应用在系统存储器数据读写编辑器应用 K KX康芯科技康芯科技图图7-16编辑波形数据编辑波形数据7.3 在系统存储器数据读写编辑器应用在系统存储器数据

19、读写编辑器应用 K KX康芯科技康芯科技图图7-16下载编辑数据后的下载编辑数据后的SignalTapII采样波形采样波形7.4 编辑编辑SignalTapII的触发信号的触发信号 K KX康芯科技康芯科技图图7-17选择高级触发条件选择高级触发条件7.4 编辑编辑SignalTapII的触发信号的触发信号 K KX康芯科技康芯科技图图7-18进入进入“触发条件函数编辑触发条件函数编辑”窗口窗口7.4 编辑编辑SignalTapII的触发信号的触发信号 K KX康芯科技康芯科技图图7-19编辑触发函数编辑触发函数7.5 其它存储器模块的定制与应用其它存储器模块的定制与应用 K KX康芯科技康芯

20、科技图图7-20编辑定制编辑定制RAM7.5.1RAM定制定制7.5 其它存储器模块的定制与应用其它存储器模块的定制与应用 K KX康芯科技康芯科技图图7-21LPM_RAM的仿真波形的仿真波形7.5.1RAM定制定制7.5 其它存储器模块的定制与应用其它存储器模块的定制与应用 K KX康芯科技康芯科技图图7-22FIFO编辑窗编辑窗7.5.2FIFO定制定制7.5 其它存储器模块的定制与应用其它存储器模块的定制与应用 K KX康芯科技康芯科技图图7-23FIFO的仿真波形的仿真波形7.5.2FIFO定制定制7.6流水线乘法累加器的混合输入设计流水线乘法累加器的混合输入设计 K KX康芯科技康

21、芯科技(1)用)用VHDL设计设计16位加法器。位加法器。【例【例7-5】LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY ADDER16B IS PORT(CIN:IN STD_LOGIC;A,B:IN STD_LOGIC_VECTOR(15 DOWNTO 0);S:OUT STD_LOGIC_VECTOR(15 DOWNTO 0);COUT:OUT STD_LOGIC );END ADDER16B;ARCHITECTURE behav OF ADDER16B IS SIGNAL S

22、INT:STD_LOGIC_VECTOR(16 DOWNTO 0);SIGNAL AA,BB:STD_LOGIC_VECTOR(16 DOWNTO 0);BEGINAA=0&A;BB=0&B;SINT=AA+BB+CIN;S=SINT(15 DOWNTO 0);COUT D32B(31 DOWNTO 22),q=POUT,inclock=CLK);u7:PLL20 PORT MAP(inclk0=CLKK,c0=CLK);-例化例化END;7.8 IP核核NCO数控振荡器使用方法数控振荡器使用方法 K KX康芯科技康芯科技图图7-33安装安装NCO核核7.8 IP核核NCO数控振荡器使用方法数

23、控振荡器使用方法 K KX康芯科技康芯科技图图7-34确定安装路径确定安装路径7.8 IP核核NCO数控振荡器使用方法数控振荡器使用方法 K KX康芯科技康芯科技图图7-35开始开始Core的工程路径的工程路径7.8 IP核核NCO数控振荡器使用方法数控振荡器使用方法 K KX康芯科技康芯科技图图7-36确定工程路径和工程名确定工程路径和工程名7.8 IP核核NCO数控振荡器使用方法数控振荡器使用方法 K KX康芯科技康芯科技图图7-37打开打开Core用户库设置窗用户库设置窗7.8 IP核核NCO数控振荡器使用方法数控振荡器使用方法 K KX康芯科技康芯科技图图7-38选中确定路径上的选中确

24、定路径上的NCO库库7.8 IP核核NCO数控振荡器使用方法数控振荡器使用方法 K KX康芯科技康芯科技图图7-39加入加入NCO库库7.8 IP核核NCO数控振荡器使用方法数控振荡器使用方法 K KX康芯科技康芯科技图图7-40已经在工程中加入已经在工程中加入NCO库库7.8 IP核核NCO数控振荡器使用方法数控振荡器使用方法 K KX康芯科技康芯科技图图7-41打开打开Core设置管理窗设置管理窗7.8 IP核核NCO数控振荡器使用方法数控振荡器使用方法 K KX康芯科技康芯科技图图7-42开始进入开始进入Core参数设置窗参数设置窗Toolbench7.8 IP核核NCO数控振荡器使用方

25、法数控振荡器使用方法 K KX康芯科技康芯科技图图7-43设置设置NCO参数参数7.8 IP核核NCO数控振荡器使用方法数控振荡器使用方法 K KX康芯科技康芯科技图图7-44设置设置NCO参数参数7.8 IP核核NCO数控振荡器使用方法数控振荡器使用方法 K KX康芯科技康芯科技图图7-45完成完成NCO参数设置并生成设计文件后的信息窗参数设置并生成设计文件后的信息窗7.8 IP核核NCO数控振荡器使用方法数控振荡器使用方法 K KX康芯科技康芯科技图图7-46加入加入NCO的授权文件的授权文件7.8 IP核核NCO数控振荡器使用方法数控振荡器使用方法 K KX康芯科技康芯科技图图7-47选

26、定选定FPGA目标器件目标器件7.8 IP核核NCO数控振荡器使用方法数控振荡器使用方法 K KX康芯科技康芯科技图图7-48设定工程后进行全程编译设定工程后进行全程编译7.9 8051单片机单片机IP核应用核应用 K KX康芯科技康芯科技图图7-49基本基本8051CPU核应用电路示例核应用电路示例7.9 8051单片机单片机IP核应用核应用 K KX康芯科技康芯科技图图7-50单片机单片机I/O口设置成双向口的电路口设置成双向口的电路7.9 8051单片机单片机IP核应用核应用 K KX康芯科技康芯科技图图7-51设置设置FPGA的总线口输出为上拉的总线口输出为上拉7.9 8051单片机单

27、片机IP核应用核应用 K KX康芯科技康芯科技图图7-52LPM_ROM初始化文件路径初始化文件路径K KX康芯科技康芯科技图图7-53TEST1.asm汇汇编程序编程序7.9 8051单片机单片机IP核应用核应用 K KX康芯科技康芯科技图图7-54下载汇编程序下载汇编程序HEX代码代码习习 题题 K KX康芯科技康芯科技7-1.如如果果不不使使用用MegaWizardMegaWizard Plug-In Plug-In ManagerManager工工具具,如如何何在在自自己己的的设设计计中中调调用用LPMLPM模模块块?以以计计数数器器lpmlpm_counter_counter为为例例

28、,写写出出调调用用该该模模块块的的程程序序,其其中参数自定。中参数自定。7-2.LPM_ROMLPM_ROM、LPM_RAMLPM_RAM、LPM_FIFOLPM_FIFO等等模模块块与与FPGAFPGA中中嵌嵌入入的的EABEAB,ESBESB,M4KM4K有怎样的联系关系?有怎样的联系关系?7-3.参考参考QuartusIIQuartusII的的HelpHelp(ContentsContents),),详细说明详细说明LPMLPM元件元件altcamaltcam、altsyncramaltsyncram、lpmlpm_ _fifofifo、lpmlpm_ _shiftregshiftreg

29、的使用方法,以及其中各参量的的使用方法,以及其中各参量的含义和设置方法。含义和设置方法。7-4.如果要设计一如果要设计一80518051单片机,如何为它配置含有汇编程序代码的单片机,如何为它配置含有汇编程序代码的ROMROM(文件)?文件)?7-5.将将例例7-4的的顶顶层层程程序序和和例例7-3的的ROM程程序序合合并并成成为为一一个个程程序序,要要求求用用例例化化语语句句直直接接调调用用LPM模模块块altsyncramaltsyncram。编编译译验验证证,使使之之功功能能与与原原设设计计相同。相同。实验与设计实验与设计 K KX康芯科技康芯科技7-1.正弦信号发生器设计正弦信号发生器设

30、计(1)实实验验目目的的:进进一一步步熟熟悉悉QuartusII及及其其LPM_ROM与与FPGA硬硬件件资资源源的的使用方法。使用方法。(2)实验原理:)实验原理:参考本章相关内容参考本章相关内容。(3)实实验验内内容容1:根根据据例例7-4,在在QuartusII上上完完成成正正弦弦信信号号发发生生器器设设计计,包包括括仿仿真真和和资资源源利利用用情情况况了了解解(假假设设利利用用Cyclone器器件件)。最最后后在在实实验验系系统统上上实实测测,包包括括SignalTapII测测试试、FPGA中中ROM的的在在系系统统数数据据读读写写测测试试和和利利用用示波器测试。最后完成示波器测试。最

31、后完成EPCSx配置器件的编程。配置器件的编程。(4)实实验验内内容容2:按按照照图图7-49所所示示,用用原原理理图图方方法法设设计计正正弦弦信信号号发发生生器器,要要调调用用3个个LPM模模块块来来构构成成:1、PLL,输输入入频频率率20MHz,32MHz单单频频率率输输出出;2、6位位二二进进制制计计数数器器;3、LPMROM,加加载载的的波波形形数数据据同同上上。注注意意,硬硬件件实实现现时时可可以以通通过过SignalTapII观观察察波波形形,但但不不能能用用0832输输出出,波波形形必必须须用用高高速速DAC输出。输出。实验与设计实验与设计 K KX康芯科技康芯科技图图7-55

32、调用了调用了PLL元件信号发生器原理图元件信号发生器原理图实验与设计实验与设计 K KX康芯科技康芯科技7-1.正弦信号发生器设计正弦信号发生器设计(5)实实验验内内容容3:修修改改例例7-3的的数数据据ROM文文件件,设设其其数数据据线线宽宽度度为为8,地地址址线线宽宽度度也也为为8,初初始始化化数数据据文文件件使使用用MIF格格式式,用用C程程序序产产生生正正弦弦信信号号数数据据,最后完成以上相同的实验。最后完成以上相同的实验。(6)实实验验内内容容4:设设计计一一任任意意波波形形信信号号发发生生器器,可可以以使使用用LPM双双口口RAM担担任任波波形形数数据据存存储储器器,利利用用单单片

33、片机机产产生生所所需需要要的的波波形形数数据据,然然后后输输向向FPGA中中的的RAM(可可以以利利用用GW48系系统统上上与与FPGA接接口口的的单单片片机机完完成成此此实实验验,D/A可可利用系统上配置的利用系统上配置的0832或或5651高速器件)。高速器件)。(7)实实验验报报告告:根根据据以以上上的的实实验验内内容容写写出出实实验验报报告告,包包括括设设计计原原理理、程程序序设计、程序分析、仿真分析、硬件测试和详细实验过程。设计、程序分析、仿真分析、硬件测试和详细实验过程。实验与设计实验与设计 K KX康芯科技康芯科技7-2.8位位16进制频率计进制频率计设计设计(1)实验目的:实验

34、目的:设计设计8位位16进制频率计,学习较复杂的数字系统设计方法。进制频率计,学习较复杂的数字系统设计方法。(2)实实验验原原理理:根根据据频频率率的的定定义义和和频频率率测测量量的的基基本本原原理理,测测定定信信号号的的频频率率必必须须有有一一个个脉脉宽宽为为1秒秒的的输输入入信信号号脉脉冲冲计计数数允允许许的的信信号号;1秒秒计计数数结结束束后后,计计数数值值被被锁锁入入锁锁存存器器,计计数数器器清清0,为为下下一一测测频频计计数数周周期期作作好好准准备备。测测频频控控制制信信号号可可以以由由一一个个独独立立的的发发生生器器来来产产生生,即即图图7-57中中的的FTCTRL。根根据据测测频

35、频原原理理,测频控制时序可以如图测频控制时序可以如图7-56所示。所示。设设计计要要求求是是:FTCTRL的的计计数数使使能能信信号号CNT_EN能能产产生生一一个个1秒秒脉脉宽宽的的周周期期信信号号,并并对对频频率率计计中中的的32位位二二进进制制计计数数器器COUNTER32B(图图7-57)的的ENABL使使能能端端进进行行同同步步控控制制。当当CNT_EN高高电电平平时时允允许许计计数数;低低电电平平时时停停止止计计数数,并并保保持持其其所所计计的的脉脉冲冲数数。在在停停止止计计数数期期间间,首首先先需需要要一一个个锁锁存存信信号号LOAD的的上上跳跳沿沿将将计计数数器器在在前前1秒秒

36、钟钟的的计计数数值值锁锁存存进进锁锁存存器器REG32B中中,并并由由外外部部的的16进进制制7段段译译码码器器译译出出,显显示示计计数数值值。设设置置锁锁存存器器的的好好处处是是数数据据显显示示稳稳定定,不不会会由由于于周周期期性性的的清清0信信号号而而不不断断闪闪烁烁。锁锁存存信信号后,必须有一清号后,必须有一清0信号信号RST_CNT对计数器进行清零,为下对计数器进行清零,为下1秒的计数操作作准备。秒的计数操作作准备。实验与设计实验与设计 K KX康芯科技康芯科技7-2.8位位16进制频率计进制频率计设计设计(3)实实验验内内容容1:分分别别仿仿真真测测试试模模块块例例7-7、例例7-8

37、和和例例7-9,再再结结合合例例7-10完完成成频频率率计计的的完完整整设设计计和和硬硬件件实实现现,并并给给出出其其测测频频时时序序波波形形及及其其分分析析。建建议议选选实实验验电电路路模模式式5;8个个数数码码管管以以16进进制制形形式式显显示示测测频频输输出出;待待测测频频率率输输入入FIN由由clock0输输入入,频频率率可可选选4Hz、256HZ、3Hz.50MHz等等;1HZ测测频频控控制制信信号号CLK1HZ可可由由clock2输输入入(用用跳跳线线选选1Hz)。注注意意,这这时时8个个数数码码管管的的测测频频显显示示值是值是16进制的。进制的。(4)实实验验内内容容2:参参考考

38、例例4-22,将将频频率率计计改改为为8位位10进进制制频频率率计计,注注意意此此设设计计电电路路的的计计数数器器必必须须是是8个个4位位的的10进进制制计计数数器器,而而不不是是1个个。此此外外注注意意在在测测频频速度上给予优化。速度上给予优化。(5)实验内容实验内容3:用用LPM模块取代例模块取代例7-8和例和例7-9,再完成同样的设计任务。,再完成同样的设计任务。(6)实验报告:实验报告:给出频率计设计的完整实验报告。给出频率计设计的完整实验报告。K KX康芯科技康芯科技【例【例7-7】LIBRARY IEEE;-测频控制电路测频控制电路USE IEEE.STD_LOGIC_1164.A

39、LL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY FTCTRL IS PORT(CLKK:IN STD_LOGIC;-1Hz CNT_EN:OUT STD_LOGIC;-计数器时钟使能计数器时钟使能 RST_CNT:OUT STD_LOGIC;-计数器清零计数器清零 Load:OUT STD_LOGIC );-输出锁存信号输出锁存信号 END FTCTRL;ARCHITECTURE behav OF FTCTRL IS SIGNAL Div2CLK:STD_LOGIC;BEGIN PROCESS(CLKK)BEGIN IF CLKKEVENT AND CLKK

40、=1 THEN -1Hz时钟时钟2分频分频 Div2CLK=NOT Div2CLK;END IF;END PROCESS;PROCESS(CLKK,Div2CLK)BEGIN IF CLKK=0 AND Div2CLK=0 THEN RST_CNT=1;-产生计数器清零信产生计数器清零信号号 ELSE RST_CNT=0;END IF;END PROCESS;Load =NOT Div2CLK;CNT_EN=Div2CLK;END behav;K KX康芯科技康芯科技【例【例7-8】LIBRARY IEEE;-32位锁存器位锁存器USE IEEE.STD_LOGIC_1164.ALL;ENTI

41、TY REG32B IS PORT(LK:IN STD_LOGIC;DIN:IN STD_LOGIC_VECTOR(31 DOWNTO 0);DOUT:OUT STD_LOGIC_VECTOR(31 DOWNTO 0);END REG32B;ARCHITECTURE behav OF REG32B ISBEGIN PROCESS(LK,DIN)BEGIN IF LKEVENT AND LK=1 THEN DOUT=DIN;END IF;END PROCESS;END behav;K KX康芯科技康芯科技【例【例7-9】LIBRARY IEEE;-32位计数器位计数器USE IEEE.STD_L

42、OGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY COUNTER32B IS PORT(FIN:IN STD_LOGIC;-时钟信号时钟信号 CLR:IN STD_LOGIC;-清零信号清零信号 ENABL:IN STD_LOGIC;-计数使能信号计数使能信号 DOUT:OUT STD_LOGIC_VECTOR(31 DOWNTO 0);-计数结果计数结果 END COUNTER32B;ARCHITECTURE behav OF COUNTER32B IS SIGNAL CQI:STD_LOGIC_VECTOR(31 DOWNTO 0);

43、BEGIN PROCESS(FIN,CLR,ENABL)BEGIN IF CLR=1 THEN CQI 0);-清零清零 ELSIF FINEVENT AND FIN=1 THEN IF ENABL=1 THEN CQI=CQI+1;END IF;END IF;END PROCESS;DOUT CLK1HZ,CNT_EN=TSTEN1,RST_CNT=CLR_CNT1,Load=Load1);U2:REG32B PORT MAP(LK=Load1,DIN=DTO1,DOUT=DOUT);U3:COUNTER32B PORT MAP(FIN=FSIN,CLR=CLR_CNT1,ENABL=TST

44、EN1,DOUT=DTO1);END struc;实验与设计实验与设计 K KX康芯科技康芯科技7-2.8位位16进制频率计进制频率计设计设计图图7-56频率计测频控制器频率计测频控制器FTCTRL测控时序图测控时序图实验与设计实验与设计 K KX康芯科技康芯科技7-2.8位位16进制频率计进制频率计设计设计图图7-57 7-57 频率计电路框图频率计电路框图实验与设计实验与设计 K KX康芯科技康芯科技7-3.利用利用LPM_ROM设计设计乘法器乘法器(1)实实验验原原理理:硬硬件件乘乘法法器器有有多多种种设设计计方方法法,但但相相比比之之下下,由由LPM_ROM构构成成的的乘乘法法表表方方

45、式式的的乘乘法法器器的的运运算算速速度度最最快快。这这里里定定制制LPM_ROM的的地地址址位位宽宽为为8;地地址址输输入入由由时时钟钟inclock的的上上升升沿沿锁锁入入;数数据据位位宽宽也也为为8。最最后后为为ROM配置乘法表数据文件。配置乘法表数据文件。LPM_ROM中作为乘法表的数据文件中作为乘法表的数据文件rom_data.mif如例如例7-11所示。其中的地所示。其中的地址址/数据表达方式是,冒号左边写数据表达方式是,冒号左边写ROM地址值,冒号右边写对应此地址放置地址值,冒号右边写对应此地址放置的的16进制数据。如进制数据。如4728,表示,表示47为地址,为地址,28为该地址

46、中的数据,这样,地址为该地址中的数据,这样,地址高高4位和低位和低4位可以分别看成是乘数和被乘数,输出的数据可以看成是它们的位可以分别看成是乘数和被乘数,输出的数据可以看成是它们的乘积。乘积。K KX康芯科技康芯科技【例例7-11】WIDTH=8;WIDTH=8;DEPTH=256;DEPTH=256;ADDRESS_RADIX=HEX;ADDRESS_RADIX=HEX;DATA_RADIX=HEX;DATA_RADIX=HEX;CONTENT BEGINCONTENT BEGIN 00:00;01:00;02:00;03:00;04:00;05:00;06:00;07:00;08:00;0

47、9:00;00:00;01:00;02:00;03:00;04:00;05:00;06:00;07:00;08:00;09:00;10:00;11:01;12:02;13:03;14:04;15:05;16:06;17:07;18:08;19:09;10:00;11:01;12:02;13:03;14:04;15:05;16:06;17:07;18:08;19:09;20:00;21:02;22:04;23:06;24:08;25:10;26:12;27:14;28:16;29:18;20:00;21:02;22:04;23:06;24:08;25:10;26:12;27:14;28:16;2

48、9:18;30:00;31:03;32:06;33:09;34:12;35:15;36:18;37:21;38:24;39:27;30:00;31:03;32:06;33:09;34:12;35:15;36:18;37:21;38:24;39:27;40:00;41:04;42:08;43:12;44:16;45:20;46:24;47:28;48:32;49:36;40:00;41:04;42:08;43:12;44:16;45:20;46:24;47:28;48:32;49:36;50:00;51:05;52:10;53:15;54:20;55:25;56:30;57:35;58:40;5

49、9:45;50:00;51:05;52:10;53:15;54:20;55:25;56:30;57:35;58:40;59:45;60:00;61:06;62:12;63:18;64:24;65:30;66:36;67:42;68:48;69:54;60:00;61:06;62:12;63:18;64:24;65:30;66:36;67:42;68:48;69:54;70:00;71:07;72:14;73:21;74:28;75:35;76:42;77:49;78:56;79:63;70:00;71:07;72:14;73:21;74:28;75:35;76:42;77:49;78:56;7

50、9:63;80:00;81:08;82:16;83:24;84:32;85:40;86:48;87:56;88:64;89:72;80:00;81:08;82:16;83:24;84:32;85:40;86:48;87:56;88:64;89:72;90:00;91:09;92:18;93:27;94:36;95:45;96:54;97:63;98:72;99:81;90:00;91:09;92:18;93:27;94:36;95:45;96:54;97:63;98:72;99:81;END;END;注意,以上注意,以上“CONTENT BEGIN”下所示的数据格式只是为了节省篇幅,实用中应该

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 生活休闲 > 生活常识

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com