可编程逻辑器件(PLD)课件.ppt

上传人:飞****2 文档编号:69441248 上传时间:2023-01-04 格式:PPT 页数:51 大小:4.14MB
返回 下载 相关 举报
可编程逻辑器件(PLD)课件.ppt_第1页
第1页 / 共51页
可编程逻辑器件(PLD)课件.ppt_第2页
第2页 / 共51页
点击查看更多>>
资源描述

《可编程逻辑器件(PLD)课件.ppt》由会员分享,可在线阅读,更多相关《可编程逻辑器件(PLD)课件.ppt(51页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、第八章第八章 可编程逻辑器件(可编程逻辑器件(PLDPLD)Chapter 8 Programmable Logic Device本章主要内容本章主要内容 第一节第一节 PLD概述概述 第二节第二节 几种几种PLD的结构及应用举例的结构及应用举例 8.2.1 现场可编程逻辑阵列(现场可编程逻辑阵列(FPLA)8.2.2 可编程阵列逻辑(可编程阵列逻辑(PAL)8.2.3 通用阵列逻辑(通用阵列逻辑(GAL)8.2.4 复杂可编程逻辑器件(复杂可编程逻辑器件(CPLD)8.2.5 现场可编程阵列(现场可编程阵列(FPGA)8.2.6 PLD的的一般开发过程一般开发过程 第三节第三节 集成电路计算

2、机辅助设计(集成电路计算机辅助设计(ICCAD)8.1 PLD概述概述 目前,数字集成电路产品可以分为两种形式:即目前,数字集成电路产品可以分为两种形式:即标标准通用型准通用型和和专用型专用型。标准通用型标准通用型集成电路是指常用的中、小规模数字电集成电路是指常用的中、小规模数字电路(如路(如74系列、系列、4000系列等),其逻辑功能设计以实现系列等),其逻辑功能设计以实现数字系统的基本功能块为目的,一般比较简单,并且固数字系统的基本功能块为目的,一般比较简单,并且固定不变。定不变。优点优点是通用性强,使用方便灵活;是通用性强,使用方便灵活;缺点缺点是体积、是体积、功耗和重量较大,可靠性和可

3、维护性较差等。功耗和重量较大,可靠性和可维护性较差等。专用型专用型集成电路是指按某种专门用途而设计、制造的集成电路是指按某种专门用途而设计、制造的集成电路,又称集成电路,又称ASIC(Application Specific Integrated Circuit),),ASIC器件又可分为全定制和半定制两大类。器件又可分为全定制和半定制两大类。ASIC的的优点优点是体积小、功耗低、可靠性高,高度保密;是体积小、功耗低、可靠性高,高度保密;缺点缺点是在用量不大的情况下,设计和制造这样的专用集是在用量不大的情况下,设计和制造这样的专用集成电路不仅成本很高,而且设计、制造的周期也很长。成电路不仅成本

4、很高,而且设计、制造的周期也很长。由此可见,通用型和专用型集成电路在制造和使用由此可见,通用型和专用型集成电路在制造和使用上存在着一定的矛盾。上存在着一定的矛盾。可编程逻辑器件可编程逻辑器件(Programmable Logic Device)的研制成功为解决这个矛盾提供了一条的研制成功为解决这个矛盾提供了一条比较理想的途径。比较理想的途径。PLD虽然是作为一种通用器件生产的,但它的逻辑虽然是作为一种通用器件生产的,但它的逻辑功能是由用户通过对器件编程来设定的。而且有些功能是由用户通过对器件编程来设定的。而且有些PLD的集成度很高,足以满足设计一般数字系统的需要。这的集成度很高,足以满足设计一

5、般数字系统的需要。这样就可以由设计人员自行编程而把数字系统样就可以由设计人员自行编程而把数字系统“集成集成”在在一片一片PLD上,而不必制造专用集成电路芯片了。上,而不必制造专用集成电路芯片了。PLD的基本结构图:的基本结构图:一、一、PLD的表示方法:的表示方法:描述描述PLD器件基本结构的逻辑图形符号如下:器件基本结构的逻辑图形符号如下:二、二、PLD的优点:的优点:PLD综合了标准通用型逻辑器件和半定制逻辑器件的许综合了标准通用型逻辑器件和半定制逻辑器件的许多优点,再加上它的可编程性,为数字系统的设计带来了很多优点,再加上它的可编程性,为数字系统的设计带来了很多方便。其优点如下:多方便。

6、其优点如下:1、设计灵活、方便、设计灵活、方便 2、高性能和高可靠性、高性能和高可靠性 3、费用较低、费用较低三、三、PLD的分类:的分类:PLD主要包括低密度可编程器件(主要包括低密度可编程器件(SPLD,门数低于门数低于600门)和高密度可编程器件(门)和高密度可编程器件(HDPLD,门数高于门数高于600门,主要门,主要指指CPLD和和FPGA)两大类。其各自包含的产品和对应的特点两大类。其各自包含的产品和对应的特点见表见表8-1-1。表表8-1-1 PLD的分类和特点细表的分类和特点细表8.2 几种几种PLD的结构及应用举例的结构及应用举例8.2.1 现场可编程逻辑阵列(现场可编程逻辑

7、阵列(FPLA)Field Programmable Logic Array一、组合逻辑型一、组合逻辑型FPLA的基本电路结构:的基本电路结构:组合逻辑型组合逻辑型FPLA由由可编程的可编程的“与与”逻辑阵列逻辑阵列和和可编程可编程的的“或或”逻辑阵列逻辑阵列以及输出缓冲器组成,如图以及输出缓冲器组成,如图8.2.1所示。所示。若编程后的电路连接情况如图中所示,则当若编程后的电路连接情况如图中所示,则当OE=0时可得到时可得到如下组合逻辑函数:如下组合逻辑函数:二、时序逻辑二、时序逻辑型型FPLA的基的基本电路结构:本电路结构:图图8.2.2 时序逻辑型时序逻辑型FPLA的电路结构的电路结构三

8、、三、FPLA的特点(与的特点(与ROM相比):相比):优点:优点:1)“与与”阵列非全译码,阵列体积小,芯片利用率高;阵列非全译码,阵列体积小,芯片利用率高;2)由由于于“与与”阵阵列列和和“或或”阵阵列列均均可可编编程程,所所以以设设计计工作工作 较容易;较容易;3)可进行时序逻辑设计。)可进行时序逻辑设计。缺点缺点:1)可编程阵列为两个,比较来说较复杂一些,速度)可编程阵列为两个,比较来说较复杂一些,速度 较慢;较慢;2)支持)支持FPLA开发的软件有一定的难度。开发的软件有一定的难度。8.2.2 可编程阵列逻辑(可编程阵列逻辑(PAL)Programmable Array Logic

9、PAL是是70年代末期年代末期MMI公司率先推出的一种可编公司率先推出的一种可编程逻辑器件。它采用双极型工艺制作,熔丝编程方式。程逻辑器件。它采用双极型工艺制作,熔丝编程方式。PAL器件由器件由可编程的与逻辑阵列可编程的与逻辑阵列、固定的或逻辑阵固定的或逻辑阵列列和输出电路三部分组成,其基本电路结构图由图和输出电路三部分组成,其基本电路结构图由图8.2.3所示。所示。用用PAL器件可以构成各种组合或时序逻辑电路。器件可以构成各种组合或时序逻辑电路。一、一、PAL的基本结构:的基本结构:图图8.2.3 PAL器件的基本电路结构器件的基本电路结构例:例:下图为一个经过编程的下图为一个经过编程的PA

10、L器件结构图,它产生了一组组合器件结构图,它产生了一组组合 逻辑函数逻辑函数Y1、Y2、Y3、Y4。图图8.2.4 编程后的编程后的PAL电路电路二、二、PAL的输出电路结构和反馈形式有以下几种:的输出电路结构和反馈形式有以下几种:1、专用输出结构、专用输出结构 属于这种输出结构的器件有:属于这种输出结构的器件有:PAL10H8、PAL14H4、PAL14L4、PAL10L8、PAL16C1等。等。2、可编程输入、可编程输入/输出结构输出结构 属于这种输出结构的器件有:属于这种输出结构的器件有:PAL16L8、PAL20L10等。等。3、寄存器输出结构、寄存器输出结构 属于这种输出结构的器件有

11、:属于这种输出结构的器件有:PAL16R4、PAL16R6、PAL16R8等。等。4、异或输出结构、异或输出结构 属于这种输出结构的器件有:属于这种输出结构的器件有:PAL20X4、PAL20X8、PAL20X10等。等。5、运算选通反馈结构、运算选通反馈结构 属于这种输出结构的器件有:属于这种输出结构的器件有:PAL16X4、PAL16A4等。等。三、三、PAL应用举例:应用举例:例例8-2-18-2-1 用用PALPAL器件设计一个数值判别电路。要求判断器件设计一个数值判别电路。要求判断4 4位二进位二进制数制数DCBADCBA的大小属于的大小属于0-50-5、6-106-10、11-15

12、11-15三个区间的哪一个之内。三个区间的哪一个之内。解:解:表表8-2-1 例例8-2-1的函数真值表的函数真值表 从真值表可写出从真值表可写出Y Y0 0、Y Y1 1、Y Y2 2的逻辑函数式,这是一组具有的逻辑函数式,这是一组具有4 4个输入变个输入变量、量、3 3个输出的组合逻辑函数。若选用个输出的组合逻辑函数。若选用PAL14H4PAL14H4来实现,可得如下所来实现,可得如下所示逻辑图:示逻辑图:图图8.2.5 编程后的编程后的PAL14H4电路电路 例例8-2-28-2-2 用用PALPAL器件设计一个器件设计一个4 4位循环码计数器,并要求所设计位循环码计数器,并要求所设计的

13、计数器具有置零和对输出进行三态控制的功能的计数器具有置零和对输出进行三态控制的功能。解:解:表表8-2-2 例例8-2-24位循环码的计数顺序表位循环码的计数顺序表 PAL16R4PAL16R4可满足上述要求,因为可满足上述要求,因为PAL16R4PAL16R4的的输出缓冲器是反相器输出缓冲器是反相器,所,所以以4 4个触发器个触发器Q Q端的状态与上表中的端的状态与上表中的Y Y状态相反,则状态相反,则Q Q3 3Q Q2 2Q Q1 1Q Q0 0的状态转的状态转换顺序应如下表所示:换顺序应如下表所示:表表8-2-3 PAL16R4中触发器的状态转换表中触发器的状态转换表据表据表8-2-3

14、8-2-3化简可得各个触发器的状态方程为:化简可得各个触发器的状态方程为:驱动方程为:驱动方程为:用用PAL16R4实现四实现四位循环码计数器位循环码计数器8.2.3 通用阵列逻辑(通用阵列逻辑(GAL)Generic Array Logic四、四、PAL的特点:的特点:1)“与与”阵列可编程方法能提供较高的性能和最有效的结构,阵列可编程方法能提供较高的性能和最有效的结构,每个输出所需的乘积项的数量由每个输出所需的乘积项的数量由“或或”阵列固定;阵列固定;2)灵活性强,在现代电子行业中仍大量使用)灵活性强,在现代电子行业中仍大量使用。3)PAL的的缺点缺点是采用熔丝工艺,一旦编程后就不能修改。

15、是采用熔丝工艺,一旦编程后就不能修改。1980年以来,随着年以来,随着E2CMOS工艺的发展,工艺的发展,GAL器件出现,器件出现,GAL从结构上可分为两类:一类是类似从结构上可分为两类:一类是类似PAL结构,即结构,即“与与”阵列阵列可编程而可编程而“或或”阵列固定,如阵列固定,如GAL16V8、GAL20V8等;另一类则等;另一类则是与阵列和或阵列可同时编程,如是与阵列和或阵列可同时编程,如LATTIC 公司的公司的GAL39V8。一、一、GAL的基本结构:的基本结构:GAL较较PAL相比,其结构仅在输出结构上不同,其输出引脚提供了相比,其结构仅在输出结构上不同,其输出引脚提供了一个一个输

16、出逻辑宏(输出逻辑宏(OLMCOutput Logic Macro Cell),OLMC的应的应用大大提高了用大大提高了GAL输出的灵活性,基本上可用同一种型号的输出的灵活性,基本上可用同一种型号的GAL器件实器件实现现PAL器件所有的各种输出电路工作模式。器件所有的各种输出电路工作模式。现以现以GAL16V8为例,介绍为例,介绍GAL器件一般结构形式和工作原理。器件一般结构形式和工作原理。GAL16V8有一个有一个32X64位的可编程位的可编程“与与”逻辑阵列,逻辑阵列,8个个OLMC,10个输入缓冲器,个输入缓冲器,8个三态输出缓冲器和个三态输出缓冲器和8个反馈个反馈/输入缓冲器。输入缓冲

17、器。GAL16V8的的“与与”逻辑阵列的每个交叉点上设有逻辑阵列的每个交叉点上设有E2CMOS 编程单元。编程单元。例:例:图图8.2.6 由由3个编程单元构成的与门个编程单元构成的与门 GAL16V8的组成的组成“或或”逻辑阵列的逻辑阵列的8个或门分别包含于个或门分别包含于8个个OLMC中,中,它们和它们和“与与”逻辑阵列的连接是固定的。逻辑阵列的连接是固定的。GAL16V8中还有一些编程单元。编程单元的地址分配和功能划分情中还有一些编程单元。编程单元的地址分配和功能划分情况如图况如图8.2.7所示。所示。图图8.2.7 GAL16V8编编程单元的地址分配程单元的地址分配二、二、OLMC介绍

18、:介绍:OLMC中包含一个或门、一个中包含一个或门、一个D触发器和由触发器和由4个数据选择器及一些门个数据选择器及一些门电路构成的控制电路。如图电路构成的控制电路。如图8.2.8所示:所示:图图8.2.8 OLMC的结构框图的结构框图 图图8.2.8中中AC0、AC1(n)、)、XOR(n)都是结构控制字中的一位数都是结构控制字中的一位数据,通过对结构控制字编程,便可设定据,通过对结构控制字编程,便可设定OLMC的工作模式。的工作模式。GAL16V8的的结构控制字如图结构控制字如图8.2.9所示:所示:图图8.2.9 GAL16V8结构控制字的组成结构控制字的组成 图图8.2.8中的或门有中的

19、或门有8个输入端,来自与逻辑阵列的输出;异或门用于个输入端,来自与逻辑阵列的输出;异或门用于控制输出函数的极性。当控制输出函数的极性。当XOR(n)为为0时,异或门的输出与或门的输出时,异或门的输出与或门的输出同相,否则反相。同相,否则反相。列的列的第一乘积项当中选择一个作为输出三态缓冲器的控制信号,如表第一乘积项当中选择一个作为输出三态缓冲器的控制信号,如表8-2-4所示。所示。表表8-2-4 TSMUX的的控制功能表控制功能表8-2-5所示。所示。表表8-2-5 FMUX的的控制功能表控制功能表 OLMC的工作模式有表的工作模式有表8-2-6所列的所列的5种,它们由结构控制字种,它们由结构

20、控制字SYN、AC1(n)、)、XOR(n)的状态指定。的状态指定。表表8-2-6 OLMC的的5种工作模式种工作模式三、三、OLMC的特点:的特点:1)OLMC的输入可以独立的设定为高或低有效的输入可以独立的设定为高或低有效 2)可采用组合逻辑(异步)输出或寄存器逻辑(同步)输出;)可采用组合逻辑(异步)输出或寄存器逻辑(同步)输出;3)器器件件有有一一个个公公用用的的输输出出使使能能端端,对对于于每每个个输输出出使使能能端端可可以以由由乘积项或单独的输入项完成;乘积项或单独的输入项完成;4)各各宏宏单单元元基基本本结结构构相相同同,可可以以重重组组到到另另外外的的单单元元,重重组组态态可可

21、以以为为以以下下几几个个模模式式:专专用用输输入入、专专用用组组合合输输出出、组组合合输输出出、寄寄存存器器输出输出。四、四、GAL的特点:的特点:1)主要采用)主要采用E2CMOS工艺;工艺;2)具有可擦除性、可重编程性、可反复改写;)具有可擦除性、可重编程性、可反复改写;3)具有可重组态性。)具有可重组态性。8.2.4 复杂可编程逻辑器件复杂可编程逻辑器件(CPLD)Complex Programmable Logic Device 一、一、80年代末,年代末,CPLD出现,其结构从总的结构上大致一样,出现,其结构从总的结构上大致一样,都以逻辑宏单元为基础,加上内部的都以逻辑宏单元为基础,

22、加上内部的AND-OR阵列,使之实现从阵列,使之实现从简单的逻辑功能到复杂的时序控制,另外外围的简单的逻辑功能到复杂的时序控制,另外外围的I/O模块,又扩大模块,又扩大了其在系统中的应用范围和扩展性。了其在系统中的应用范围和扩展性。二、二、CPLD结构框图结构框图三、三、CPLD的特点:的特点:1)各)各CPLD产品不再象产品不再象PAL、GAL仅使用较通用的软件包、编仅使用较通用的软件包、编程器来完成它的设计开发、应用过程,而是要求较好的程器来完成它的设计开发、应用过程,而是要求较好的逻辑优化逻辑优化、综合手段,在实现后又需要在时序上进行仿真综合手段,在实现后又需要在时序上进行仿真,所以各家

23、公司在自,所以各家公司在自己产品的基础上对应自己的产品均有一套开发系统,如己产品的基础上对应自己的产品均有一套开发系统,如Xilinx的的DS550,Altera的的MAX+PLUS II,Lattice的的pDS+等,它们均能够接等,它们均能够接受多种输入格式的设计方法。受多种输入格式的设计方法。2)验验证证时时打打破破了了以以往往的的硬硬件件/实实验验方方法法,以以全全方方位位的的时时序序/逻逻辑辑软件仿真软件仿真取而代之。取而代之。3)新新增增的的ISP功功能能更更提提供供了了巨巨大大的的灵灵活活性性,使使设设计计人人员员在在自自己己的的设设计计完完成成后后,在在计计算算机机上上马马上上

24、就就可可知知道道自自己己的的设设计计在在逻逻辑辑功功能能上上,时序上是否已达到目的。时序上是否已达到目的。4)CPLD器件器件可擦除可擦除,可重编程可重编程,并且掉电后,并且掉电后数据不会丢失数据不会丢失。8.2.5 现场可编程阵列(现场可编程阵列(FPGA)Field Programmable Gate Array一、一、FPGA介绍:介绍:#80年代中期出现,最早由年代中期出现,最早由Xilinx公司在公司在1985年推出年推出#FPGA与与CPLD的比较的比较:FPGA与与传传统统的的PLD不不同同,它它具具有有类类似似于于半半定定制制门门阵阵列列的的通通用用结结构构,即即由由逻逻辑辑功

25、功能能块块(CLB)排排列列阵阵列列组组成成,并并由由可可编编程程的的互联资源连接(互联资源连接(PI)连接这些连接这些CLB来实现所需的设计。来实现所需的设计。#FPGA与掩模编程阵列的区别与掩模编程阵列的区别:FPGA与与MPGA的的不不同同之之处处在在于于它它由由用用户户现现场场可可编编程程来来完完成成CLB之间的互联,而后者需由之间的互联,而后者需由IC工厂通过掩模完成互联。工厂通过掩模完成互联。#FPGA是是将将门门阵阵列列的的通通用用结结构构与与PLD现现场场可可编编程程特特性性结结合合于于一一体体的的新新型型器器件件,目目前前,FPGA在在芯芯片片上上可可集集成成100万万门门,

26、可可与与中高等密度的门阵列相匹敌。中高等密度的门阵列相匹敌。二、二、FPGA的基本结构的基本结构 CLB:是实现用户功能的基本单元,它们通常规则地排列成一个阵列,是实现用户功能的基本单元,它们通常规则地排列成一个阵列,散布于整个芯片;散布于整个芯片;CLB有与门、非门、门阵列及触发器、计数器和其他有与门、非门、门阵列及触发器、计数器和其他功能模块等等;功能模块等等;IOB:完成芯片上逻辑与外部封装腿的接口,常围绕着阵列排列于芯完成芯片上逻辑与外部封装腿的接口,常围绕着阵列排列于芯片四周;片四周;IOB通常包括输入部分、输出部分和公共部分(包括全局复位网通常包括输入部分、输出部分和公共部分(包括

27、全局复位网线、时钟资源等)。线、时钟资源等)。PI:包括各种长度的连线线段和一些可编程连接开关,它们将各个包括各种长度的连线线段和一些可编程连接开关,它们将各个CLB或或IOB连接起来,构成特定功能的电路。连接起来,构成特定功能的电路。三、三、FPGA的分类:的分类:1)按)按CLB的大小分:的大小分:细粒度(细粒度(Fine-grain)粗粒度(粗粒度(Coarse-grain)2)按互联结构分类:)按互联结构分类:分段互联型分段互联型 连续互联型连续互联型 3)按可编程特性分类:)按可编程特性分类:一次性可编程一次性可编程 重复可编程重复可编程 四、四、FPGA的特点:的特点:1)集集成成

28、度度高高,逻逻辑辑实实现现和和综综合合能能力力强强,设设计计更更灵活;灵活;2)现场可编程,产品上市快,可反复使用;)现场可编程,产品上市快,可反复使用;3)FPGA内内部部丰丰富富的的触触发发器器和和I/O引引脚脚弥弥补补了了PLD规模小,规模小,I/O少的不足;少的不足;4)但但FPGA总总的的电电路路工工作作速速度度比比一一般般PLD低低,且且有数据易失性。有数据易失性。8.2.6 PLD的一般开发过程的一般开发过程PLD的开发过程大体可分为如下几个步骤:的开发过程大体可分为如下几个步骤:一、逻辑设计一、逻辑设计 二、选定二、选定PLD的类型和型号的类型和型号 三、选定开发系统工具三、选

29、定开发系统工具 四、编制四、编制JEDEC文件文件 1)设计输入)设计输入 2)设计实现)设计实现 3)设计验证(仿真)设计验证(仿真)五、卸载五、卸载 六、测试六、测试8.3 集成电路计算机辅助设计集成电路计算机辅助设计 Integrated Circuit Computer Aided Design一、计算机辅助设计(一、计算机辅助设计(CAD)的基本概念:的基本概念:(一)设计自动化(一)设计自动化(DA)和计算机辅助设计(和计算机辅助设计(CAD)*为开发或研制一个新的集成电路进行设计时,一般将为开发或研制一个新的集成电路进行设计时,一般将 经历经历 以下三个阶段:以下三个阶段:*IC

30、发展早期,多为人工设计;发展早期,多为人工设计;LSI和和VLSI出现后,广泛采出现后,广泛采 用和依靠计算机技术。用和依靠计算机技术。*如果计算机能根据集成电路的设计要求,自动完成上述如果计算机能根据集成电路的设计要求,自动完成上述 三个阶段的设计任务,则称之为三个阶段的设计任务,则称之为设计自动化设计自动化(DA:Design Automation)。)。*EDA(Electronics Design Automation,即电子设计自动化)即电子设计自动化)是一种以计算机为基本工作平台,利用计算机图形学、拓是一种以计算机为基本工作平台,利用计算机图形学、拓 扑逻辑学、计算数学,以至人工智

31、能学等多种计算机应用扑逻辑学、计算数学,以至人工智能学等多种计算机应用 学科的最新成果开发出来的一整套软件工具,是一种帮助学科的最新成果开发出来的一整套软件工具,是一种帮助 电子设计工程师从事电子元件、产品和系统设计的综合技术。电子设计工程师从事电子元件、产品和系统设计的综合技术。*计算机辅助设计(计算机辅助设计(CAD:Computer Aided Design)即由人即由人 为主导,借助于计算机来帮助人工迅速而准确地完成设计任为主导,借助于计算机来帮助人工迅速而准确地完成设计任 务的方法。务的方法。目前,人和计算机必须通过目前,人和计算机必须通过CAD这一工作模式共同完成这一工作模式共同完

32、成 VLSI的设计。的设计。(二)采用(二)采用CAD技术的必要性(即优点):技术的必要性(即优点):减轻人工劳动,缩短设计周期;减轻人工劳动,缩短设计周期;保证设计的正确性;保证设计的正确性;提高设计质量,节省设计费用;提高设计质量,节省设计费用;在在VLSI设计中离开设计中离开CAD技术就无法完成设计任务;技术就无法完成设计任务;促进集成化技术的普及。促进集成化技术的普及。(三)集成电路正向(三)集成电路正向CAD过程:过程:*所谓所谓“正向设计正向设计”就是以集成电路特性指标为出发点,依次进就是以集成电路特性指标为出发点,依次进 行系统设计、逻辑设计、线路设计和版图设计共计四个层次的行系

33、统设计、逻辑设计、线路设计和版图设计共计四个层次的 设计工作。设计工作。*采用采用CAD技术的正向设计包括五部分:技术的正向设计包括五部分:1、电路设计:系统设计、逻辑设计、线路设计、设计校验、电路设计:系统设计、逻辑设计、线路设计、设计校验 2、版图设计、版图设计 3、测试码生成、测试码生成 4、器件模型参数的确定、器件模型参数的确定 5、工艺加工、工艺加工(四)集成电路的逆向设计:(四)集成电路的逆向设计:逆向设计以逆向设计以“逆向剖析逆向剖析”为基础:为基础:如果需要解剖分析某一已有产品时,则要采用集成电路剖如果需要解剖分析某一已有产品时,则要采用集成电路剖析分析系统,其过程为:析分析系

34、统,其过程为:首先首先,解剖样品,去掉封装,暴露管芯;,解剖样品,去掉封装,暴露管芯;接着接着,进行显,进行显微照相或用高精度图像系统摄取管芯表面拓扑图,得到该集成微照相或用高精度图像系统摄取管芯表面拓扑图,得到该集成电路样品的版图设计信息;电路样品的版图设计信息;然后然后,从得到的版图上提取逻辑和,从得到的版图上提取逻辑和电路结构,分析其功能和原理;电路结构,分析其功能和原理;最后最后,获得其原始设计思想。,获得其原始设计思想。二、二、CAD系统的构成:系统的构成:一个实用的一个实用的ICCAD系统应包括有一套完整的系统应包括有一套完整的软件工具软件工具、配、配套的套的硬件工作平台硬件工作平

35、台和统一的和统一的用户界面用户界面。1、ICCAD软件工具:软件工具:正向设计过程中采用的正向设计过程中采用的ICCAD系统包括下述四大软件工具:系统包括下述四大软件工具:(1)综合设计工具综合设计工具 (2)模拟验证工具)模拟验证工具 (3)设计输入数据管理工具)设计输入数据管理工具(4)专用)专用ICCAD软件软件 2、ICCAD的硬件环境发展状况:的硬件环境发展状况:70年代中叶年代中叶 ICCAD软件都是在软件都是在小型以上级别小型以上级别的通用计算机(如的通用计算机(如 VAX750)上运行。上运行。80年代初期年代初期 出现了可在出现了可在工作站工作站上运行的比较完整的上运行的比较

36、完整的ICCAD软件系统。软件系统。80年代末期年代末期 386、486CPU及相应协处理器的出现,使得及相应协处理器的出现,使得个人微机个人微机系系 统上也可运行统上也可运行ICCAD软件。软件。3、我国、我国ICCAD系统发展状况:系统发展状况:一级系统:一级系统:一级系统是在一级系统是在“六五六五”期间开发的以国产期间开发的以国产DJS-130小型机为硬件环境的小型机为硬件环境的ICCAD软件包。它可辅助人们将设计好的版图输入到计算机并进行修改编软件包。它可辅助人们将设计好的版图输入到计算机并进行修改编辑,然后产生掩模数据带。辑,然后产生掩模数据带。二级系统:二级系统:二级系统是在二级系

37、统是在“六五六五”后期开发的功能较齐全的可用于大规模集成电路后期开发的功能较齐全的可用于大规模集成电路的的CAD系统,该系统以系统,该系统以MC68000为硬件环境,为硬件环境,“七五七五”期间又作了实用化期间又作了实用化移植、改进和推广,使其可运行于开放的图形工作站。移植、改进和推广,使其可运行于开放的图形工作站。三级系统:三级系统:三级系统又称熊猫系统,是一个面向全定制超大规模集成电路设计的三级系统又称熊猫系统,是一个面向全定制超大规模集成电路设计的CAD系统,可支持用户进行系统,可支持用户进行IC设计的全过程。该系统为用户提供了集成电设计的全过程。该系统为用户提供了集成电路设计过程中所需

38、要的路设计过程中所需要的CAD工具和信息管理,是一个大型的工具和信息管理,是一个大型的ICCAD系统。系统。4、国外典型、国外典型ICCAD系统:系统:国外国外CAD系统的主要代表公司有:系统的主要代表公司有:Cadence、Mentor Graphics、Viewlogic、Synopsys等。等。三、三、ISP系统介绍:系统介绍:#现代数字系统的实现手段:现代数字系统的实现手段:#ISP技术的定义和常用编程方式:技术的定义和常用编程方式:目前,目前,PLD已成为现代数字系统设计的主要手段,已成为现代数字系统设计的主要手段,“在系统中可编程在系统中可编程”(ISP,即,即In-System

39、Programmable)逻辑器件的问世,可以说是可编程器逻辑器件的问世,可以说是可编程器件中的一个革命,将可编程器件的优越性发挥到了极致。它允许用户件中的一个革命,将可编程器件的优越性发挥到了极致。它允许用户“在系在系统中统中”编辑和修改逻辑,给使用者提供了在不修改系统硬件设计条件下重构编辑和修改逻辑,给使用者提供了在不修改系统硬件设计条件下重构系统的能力和硬件升级能力,使硬件修改变得象软件修改一样方便,系统的系统的能力和硬件升级能力,使硬件修改变得象软件修改一样方便,系统的可靠性因此而提高。可靠性因此而提高。ISP技术即直接在用户设计的目标系统中或线路板上对技术即直接在用户设计的目标系统中或线路板上对PLD器件进行编程的技术。器件进行编程的技术。ISP技术的常用编程方式有:技术的常用编程方式有:(1)利用利用PC机的机的I/O口编程口编程 (2)利用用户目标板上自备的单片机或微处理器编程)利用用户目标板上自备的单片机或微处理器编程 (3)多芯片)多芯片ISP编程编程用用PC机并行口进行机并行口进行ISP编程原理图编程原理图

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 教案示例

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com