有用的程式技巧.ppt

上传人:s****8 文档编号:69353070 上传时间:2023-01-02 格式:PPT 页数:24 大小:118.50KB
返回 下载 相关 举报
有用的程式技巧.ppt_第1页
第1页 / 共24页
有用的程式技巧.ppt_第2页
第2页 / 共24页
点击查看更多>>
资源描述

《有用的程式技巧.ppt》由会员分享,可在线阅读,更多相关《有用的程式技巧.ppt(24页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、第第 九九 章章 有用的程式技巧有用的程式技巧(Useful Modeling Techniques)9.1 程序持續指定程序持續指定(Procedural Continuous Assignments)9.1.1 assign和和deassign關鍵字assign和deassign是用來表示,第一種型態的程式持續指定。程式持續指定的左邊,可以是暫存器或者連續的暫存器,而不能是一個接點的部份位元或暫存器的陣列。程式持續指定複寫正規程序指定,其一般運用在週期控制。範例68的非同步設定負緣觸發D型正反器,在範例91中將使用assign和deassign完成。/非同步設定負緣觸發D型正反器modul

2、eedgedff(q,qbar,d,clk,reset);/輸出輸入outputq,qbar;inputd,c.k,reset;regq,qbar;/宣告q和qbar是暫存器變數always(negedgeclk)/在clock負緣指定q和qbar的值beginq=d;qbar=d;endalways(reset)/當reset為高電位使用程序持續指定複寫q/和qbar範例91程序持續指定D型正反器if(reset)begin/如果reset為高電位,使用程序持續指定複寫q和qbarassignq=1b0;assignqbar=1b1;endelsebegin/如果reset為低電位,移除複寫

3、在移除複寫後,q=d/andqbar=d將要等到下一個clock負緣才能更改暫存/器變數的值deassignq;deassignqbar;endendmodule9.2 複寫參數複寫參數(Overriding Parameters)9.2.1 defparam敘述敘述關鍵字defparam可用來模組別名(moduleinstance)中之參數,模組別名之階層名可用來複寫參數值。範例92說明使用defparam敘述,來更改參數的方法。範例92defparam敘述/定義模組hello_worldmodulehello_world;parameterid_num=0;/定義一模組識別數=0initi

4、al/顯示識別數$display(“Displayinghello_worldidnumber=%d”,id_num);endmodule/定義最高層次模組moduletop;/改變在這取別名模組的參數值/使用defparam敘述defparamw1.id_num=1,w2.id_num=2;/取別名二個hello_world模組hello_worldw1();hello_worldw2();endmodule9.3有條件的編譯與執行(ConditionalCompilationandExecution)vVerilog程式的部分可能是用某些環境,而不是用某些環境,再某些旗標被設定時才被編譯,

5、這就是有條件的編譯。9.3.2有條件的編譯有條件的編譯是由編譯指令:ifdef、ifndf、else、elsif和endif來完成。/有條件的編譯/範例1ifdefTEST/如果文字巨集TEST北定義,編譯模組test。moduletest;endmoduleelse/否則預設編譯模組stimulusmodulestimulus;endmoduleendif/完成ifdef條件敘述範例95有條件的編譯/範例2moduletop;bus_masterb1();/無條件取別名模組ifdefADD_B2bus_masterb2();/如果文字巨集ADD_B2被定義,取別名模組b2.elsifADD_

6、B3bus_masterb3();/如果文字巨集ADD_B3被定義,取別名模組b3。elsebus_masterb4();/預設取別名模組b4endif範例95有條件的編譯(續)ifdifIGNORE_B5bus_masterb5();/如果文字巨集ADD_B3未被定義,取別名模組b5。endifendmodule範例95有條件的編譯(續)9.4 時間刻度時間刻度(Time Scales)模擬當中經常需要在某一模組中定義延遲數值的時間單位,如lus,而在另一模組又中定義不同的時間單位,如100ns。範例98時間刻度/定義模組dummy1的時間刻度/時間單位為100奈秒,精確度為1奈秒。time

7、scale100ns/1nsmoduledummy1;regtoggle;/設定toggle初始值initialtoggle=1 b0;/每5個時間單位,反轉toggle暫存器變數值/在模組中,每5個時間單位=500ns=.5usalways#5begintoggle=toggle;$display(“%d,In%mtoggle=%b”,$time,toggle);endendmodule/定義模組dummy2的時間刻度/時間單位為1微秒,精確度為10奈秒。timescale1us/10nsmoduledummy2;regtoggle;/設定toggle初始值initialtoggle=1 b

8、0;/每5個時間單位,反轉toggle暫存器變數值。/在模組中,每5個時間單位=5us=5000ns。always#5begintoggle=toggle;$display(“%d,In%mtoggle=%b”,$time,toggle);endendmodule9-5 有用的系統任務有用的系統任務(Useful System Tasks)系統任務:檔案輸出、顯示階層、閃控、亂數產生器。9.5.1 檔案輸出檔案輸出(File Output)系統任務$fopen可開啟一個檔案語法:$fopen(“”);語法:=$fopen(“”);任務$fopen將傳回32位元的值叫作多通道描述符號,僅有一個位

9、元會被設定,標準輸出的多通道描述符號的最末一個位元會被設定(bit=0),標準輸出叫作通道0(channel0)。Writing to filesThesystemtasks$fdisplay,$fmonitor,$fwrite,and$fstrobeareusedtowritetofilesUsage:$fdisplay(,p1,p2.,pn);$fmonitor(,p1,p2,.,pn);9.5.3 閃控閃控(Strobing)關鍵字為$strobe類似$display,但是,當有多個敘述和$display在同一時間執行,則其執行順序是不可知的。如果,$strobe運用在相同的地方,則可確

10、定在同一時間執行的敘述,將先執行後才執行$strobe。(alwaysexecutedafterallotherassignmentstatements)範例911閃控/閃控always(posedgeclock)begina=b;c=d;endalways(posedgeclock)$strobe(“Displayinga=%b,c=%b”,a,c);/在正緣觸發顯示值9.5.4 亂數產生器亂數產生器(Random Number Generation)語法:$random;語法:$random();參數可以是reg、integer或是time變數,系統任務$random會送出32位元的有號整

11、數,如範例912。/產生亂數並送至一個簡單的ROMmoduletest;integerr_seed;reg31:0addr;/輸入到ROMwire31:0data;/從ROM輸出ROMrom1(data,addr);範例912亂數產生initialr_seed=2;/任意定義seed為2always(posedgeclock)addr=$random(r_seed);/產生亂數/endmodule9.5.5 Initializing Memory from FilenTwotasksareprovidedtoreadnumbersinbinaryorhexadecimalformat.qKey

12、words$readmemband$readmemhnUsage:q$readmemb(,);q$readmemb(,);q$readmemb(,start_addr,);qIdenticalsyntaxfor$readmemh.Example 9-14 Initializing Memory nmoduletest;nreg7:0memory0:7;/declarean8-bytememorynintegeri;ninitialnbeginn/readmemoryfileinit.dat.addresslocationsgiveninmemoryn$readmemb(init.dat,mem

13、ory);nmoduletest;n/displaycontentsofinitializedmemorynfor(i=0;i8;i=i+1)n$display(Memory%0d=%b,i,memoryi);nendnendmoduleExample 9-14 Initializing MemorynAsamplefile,init.dat,isshownbelow.n002n1111111101010101n0000000010101010n006n1111zzzz00001111nWhenthetestmoduleissimulated,wewillgetthefollowingoutp

14、ut:nMemory0=xxxxxxxxnMemory1=xxxxxxxxnMemory2=11111111nMemory3=01010101nMemory4=00000000nMemory5=10101010nMemory6=1111zzzznMemory7=000011119.5.6 數值變化轉儲檔案數值變化轉儲檔案(Value Change Dump File)所有的信號或一組被選擇的信號,在模擬時皆可被寫入數值變化轉儲檔案。後置處理器可以將數值變化轉儲檔案輸入、並顯示階層資訊、信號值和信號波形。使用數值變化轉儲檔案除錯分析的流程如圖9.1。儲至數值改變計畫VCDFile後置處理器除錯/

15、分析原因數值變化轉儲檔案除錯分析的流程圖9.1VCD System tasks nSystemtasksareprovidedforselectingmoduleinstancesormoduleinstancesignalstodump($dumpvars),nameofVCDfile($dumpfile),startingandstoppingthedumpprocess($dumpon,$dumpoff),andgeneratingcheckpoints($dumpall).Example 9-15 VCD File System Tasks n/specifynameofVCDfile

16、.Otherwise,defaultnameisn/assignedbythesimulator.ninitialn$dumpfile(myfile.dmp);/Simulationinfodumpedtomyfile.dmpn/Dumpsignalsinamoduleninitialn$dumpvars;/noarguments,dumpallsignalsinthedesignninitialn$dumpvars(1,top);/dumpvariablesinmoduleinstancetop.n/Number1indicateslevelsofhierarchy.Dumponen/hie

17、rarchylevelbelowtop,i.e.,dumpvariablesintop,n/butnotsignalsinmodulesinstantiatedbytop.ninitialn$dumpvars(2,top.m1);/dumpupto2levelsofhierarchybelowtop.m1ninitialn$dumpvars(0,top.m1);/Number0meansdumptheentirehierarchyn/belowtop.m1n/Startandstopdumpprocessninitialnbeginn$dumpon;/startthedumpprocess.n#100000$dumpoff;/stopthedumpprocessafter100,000timeunitsnendn/Createacheckpoint.DumpcurrentvalueofallVCDvariablesninitialn$dumpall;

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 生活休闲 > 生活常识

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com