1、2EDA简介与可编程逻辑器件PLD.ppt

上传人:赵** 文档编号:67305837 上传时间:2022-12-24 格式:PPT 页数:42 大小:653KB
返回 下载 相关 举报
1、2EDA简介与可编程逻辑器件PLD.ppt_第1页
第1页 / 共42页
1、2EDA简介与可编程逻辑器件PLD.ppt_第2页
第2页 / 共42页
点击查看更多>>
资源描述

《1、2EDA简介与可编程逻辑器件PLD.ppt》由会员分享,可在线阅读,更多相关《1、2EDA简介与可编程逻辑器件PLD.ppt(42页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、电子设计自动化电子设计自动化电子设计自动化电子设计自动化EDAEDA魏永涛魏永涛2021/9/171什么是什么是EDAEDA应用电子应用电子计算机计算机信息处理信息处理人工智能人工智能拓扑学拓扑学计算数学计算数学Electronic Design Automation电子设计自动化是在计算机的辅助下完成电子产品设计的一种先进的硬件设计技术!是立足于计算机工作平台开发出来的一整套先进的设计电子系统的软件工具。2021/9/172EDA的发展的发展CAD包含电气性能和几何图包含电气性能和几何图形描述之间一致性的验证形描述之间一致性的验证(PCB)CAE增加电路功能和结构的设计增加电路功能和结构的设

2、计(PCB的自动布局布线及分析)的自动布局布线及分析)EDA芯片设计及系统设计芯片设计及系统设计(从(从PCB扩展到扩展到IC)2021/9/173EDA技术的范畴模拟电路模拟电路数字电路数字电路混合电路混合电路设计输入设计输入逻辑综合逻辑综合仿真仿真编程下载编程下载2021/9/174基于基于EDA工具的设计步骤工具的设计步骤电子系统的设计、仿真、综合与实现电子系统的设计、仿真、综合与实现设计(设计(Design):根据功能要求,完成对电子系):根据功能要求,完成对电子系统的初步设计统的初步设计仿真(仿真(Simulation):又称为模拟,指利用计算机):又称为模拟,指利用计算机模拟所设计

3、电子系统的实际工作情况模拟所设计电子系统的实际工作情况合成(合成(Synthesis):从所设计电子系统的行为):从所设计电子系统的行为描述及目标电路的约束条件出发,找出一个满足描述及目标电路的约束条件出发,找出一个满足要求的结构,如原理图要求的结构,如原理图PCB。实现(实现(Realization):使用):使用EDA工具对综合结工具对综合结果在实际器件或电路中予以实现。果在实际器件或电路中予以实现。2021/9/175EDA常用软件常用软件 电子电路设计与仿真软件电子电路设计与仿真软件 PCB设计软件设计软件 IC 设计软件设计软件 PLD设计软件设计软件 MultiSim7,Prote

4、us,SystemView,Protel DXP,MAX+Plus/Quartus II2021/9/176计算机并口计算机并口器件编程接口器件编程接口PCB BoardPCB BoardPLD编程目编程目标文件标文件Electronics Design Automation硬件软化,软件硬化硬件软化,软件硬化EDA技术的特点2021/9/177软、硬件协同设计软、硬件协同设计一般的系统由硬件电路和运行其上的软件构成,一般的系统由硬件电路和运行其上的软件构成,有些功能既可搭建硬件电路实现,也可软件编有些功能既可搭建硬件电路实现,也可软件编程实现。程实现。软件:软件:编程工作量大,占用编程工作量

5、大,占用CPU时间多,运行时间多,运行速度较慢速度较慢,但,但成本较低且调试相对容易成本较低且调试相对容易。硬件:硬件:运行速度快运行速度快,但,但成本高且调试难度较大成本高且调试难度较大 软件和硬件的合理分配软件和硬件的合理分配采用采用CPLD/FPGA器件器件 EDAEDA技术的特点技术的特点2021/9/178电子设计自动化的主要应用电子设计自动化的主要应用 (1)专用集成电路(ASIC)或大规模集成电路 (LSI)设计(使用PLD器件及开发系统)(2)电子线路的设计分析仿真(模拟、数字、模/数混合)(3)多层印制电路板元件布局、自动布线、仿真测试 (4)电子系统设计与整体优化 (5)电

6、子产品可靠性分析 电磁兼容性(EMC)分析 热分析等2021/9/179课程安排课程安排课堂课堂24学时,实验学时,实验16学时,第三次课后学时,第三次课后找任良超老师联系实验。找任良超老师联系实验。课时少,课堂上只讲最实用的部分课时少,课堂上只讲最实用的部分(仿真软仿真软件和件和VHDL/CPLD)数电和数电和C语言是基础。语言是基础。预习很重要,课堂上略化语法,以讲解方预习很重要,课堂上略化语法,以讲解方法和技巧为主,注意法和技巧为主,注意做笔记做笔记。2021/9/1710电子电路电子电路仿真简介仿真简介仿真简介仿真简介 2021/9/1711电路仿真电路仿真 RLC二阶电路:二阶电路:

7、人脑:人脑:利用节点法、回路法或支路法等列电路方程并求解利用节点法、回路法或支路法等列电路方程并求解 电脑:电脑:如何实现?如何实现?将电路图转成计算机能识别的形式:数学模型将电路图转成计算机能识别的形式:数学模型2021/9/1712模拟电路的仿真模拟电路的仿真 计算和求解计算和求解生成波形生成波形 数学模型数学模型 数学方程数学方程 物理现象物理现象拓扑结构拓扑结构 计算机技术计算机技术 2021/9/1713数字电路的逻辑仿真数字电路的逻辑仿真 输入输出波形输入输出波形 拓扑关系拓扑关系 输入输出逻辑输入输出逻辑数字器件数字器件 器件的功能和特性器件的功能和特性 计算机技术计算机技术 2

8、021/9/1714仿仿真真流流程程 2021/9/1715模拟电路仿真设计工具模拟电路仿真设计工具Berkeley University:SPICE3F5 SPICE(Simulation program with integrated circuit emphasis),用于模拟集成电路用于模拟集成电路EWB:由由Multisim、Ultiboard、Ultiroute和和Commsim四个软件模块组成四个软件模块组成核心:核心:SPICEHspice Pspice Vspice 2021/9/1716可编程逻辑器件可编程逻辑器件 2021/9/1717回顾:数字系统的设计一、数字系统的概

9、念二、传统的数字系统设计方法三、现代的数字系统设计方法2021/9/17181传统数字系统设计方法1.1.根据设计要求划分功能模块;根据设计要求划分功能模块;2.2.确定确定输输入和入和输输出的关系,画出出的关系,画出真真值值表表;3.3.由真由真值值表写出表写出逻辑逻辑表达式表达式;4.4.利用公式或卡利用公式或卡诺图进诺图进行行人工化人工化简简;5.5.根据根据化化简简后的后的逻辑逻辑表达式表达式画出画出电电路原理路原理图图;6.6.在面包板上在面包板上进进行行实验实验,验证验证电电路的正确性;路的正确性;7.7.若若无无错误错误,画,画PCBPCB图图;8.8.检查检查后送制板厂制板;后

10、送制板厂制板;9.9.对对PCBPCB板板进进行行安安装装、调调试试,若若有有大大的的错错误误,修修改改设计设计,重复以上,重复以上过过程,重新制板。程,重新制板。基基于于电电路路板板的的设设计计方方法法采采用用固固定定功功能能的的器器件件(通通用型器件),通过设计用型器件),通过设计电路板电路板来实现系统功能来实现系统功能2021/9/1719传统的数字系统设计方法n基于电路板采用固定功能器件(通用型器件),通过设计电路板来实现系统功能写写出出真真值值表表或或状状态态表表推推出出逻逻辑辑表表达达式式化化简简逻辑电路图逻辑电路图用小规模逻辑器件来实现用小规模逻辑器件来实现特点特点特点特点采用自

11、下而上(Bottom Up)的设计方法采用通用型逻辑器件搭积木式的方式 在系统硬件设计的后期进行仿真和调试 主要设计文件是电路原理图 2021/9/1720传统的数字系统设计方法效率低下效率低下几乎都是手工完成!几乎都是手工完成!设计周期很长;设计周期很长;容易出错(如容易出错(如PCBPCB绘制与焊接绘制与焊接器件引脚);器件引脚);芯片种类多,数量大,受市场的限制;芯片种类多,数量大,受市场的限制;设计灵活性差(如器件下市);设计灵活性差(如器件下市);产品体积大。产品体积大。采用自下而上(Bottom Up)的设计方法采用通用型逻辑器件搭积木式的方式 在系统硬件设计的后期进行仿真和调试

12、主要设计文件是电路原理图 2021/9/17212现代的数字系统设计方法首首先先在在计计算算机机上上安安装装EDAEDA软软件件,它它们们能能帮帮助助设设计计者者自自动动完完成成几几乎乎所所有有的的设设计计过过程程;再再选选择择合合适适的的PLDPLD芯片,可以芯片,可以在一片芯片中在一片芯片中实现实现整个数字系整个数字系统统。基基于于芯芯片片的的设设计计方方法法采采用用PLDPLD(可可编编程程逻逻辑辑器器件件),利用利用EDAEDA开发工具,通过开发工具,通过芯片芯片设计来实现系统功能。设计来实现系统功能。EDA软件软件空白空白PLD+数字系统数字系统编程编程2021/9/1722现代的数

13、字系统设计方法计算机计算机+EDA软件软件空白空白PLD+数字系统数字系统通常采用自上而下(Top Down)的设计方法采用可编程逻辑器件 在系统硬件设计的早期进行仿真主要设计文件是用硬件描述语言编写的源程序降低了硬件电路设计难度特点特点特点特点2021/9/17231.自上而下的设计(Top Down)占据主导地位 辅助的设计手段 功能模块划分子模块设计系统级设计系统级设计功能级描述功能级描述功能仿真功能仿真门级描述门级描述时序仿真时序仿真若仿真未通过,则需修改设计!2.2.自下而上的设计(自下而上的设计(Bottom UpBottom Up)设计基本单元设计基本单元构成子模块构成子模块子系

14、统子系统系统系统2021/9/1724现代的数字系统设计方法1.1.根据设计要求划分功能模块根据设计要求划分功能模块2.PLD2.PLD开发(利用开发(利用EDAEDA工具)工具)(1 1)设设计计输输入入:采采用用硬硬件件描描述述语语言言(HDLHDL),用用条条件件语语句句或或赋赋值值语语句句表表示示输输入入和和输输出出的的逻逻辑辑关关系系,将将整整个个程程序序输输入入到到计计算机中;算机中;(2 2)设设计计的的编编译译:EDAEDA工工具具可可自自动动进进行行逻逻辑辑综综合合,将将功功能能描描述述转转换换为为门门级级描描述述,或或转转换换成成具具体体PLDPLD的的网网表表文文件件,将

15、将网网表文件自表文件自动动适配到具体芯片中适配到具体芯片中进进行行布局布布局布线线;(3 3)功能仿真功能仿真和和时时序仿真序仿真;(4 4)编编程下程下载载到到实际实际芯片中,在芯片中,在实验实验台上台上进进行行实际验证实际验证;(5 5)在在每每一一阶阶段段若若有有问问题题,可可在在计计算算机机上上直直接接修修改改设设计计,重重复以上复以上过过程。程。2021/9/1725现代的数字系统设计方法3.设计包含PLD芯片的电路板(1)在计算机上利用EDA软件画电路原理图;(2)进行电气规则检查无误后,自动生成网表文件;(3)利用EDA软件画PCB图,自动布线;(4)自动进行设计规则检查,无误后

16、输出文件,制板。优点优点:效效率率高高所所有有这这一一切切,几几乎乎都都是是借借助助计计算算机机利利用用EDAEDA软件软件自动自动完成!完成!容易检查错误,便于修改;容易检查错误,便于修改;设计周期短、成功率很高设计周期短、成功率很高 ;产品体积小。产品体积小。2021/9/1726特 点传统方法现代方法采用器件通用型器件通用型器件可编程逻辑器件可编程逻辑器件设计对象电路板电路板芯片芯片设计方法自下而上自下而上自上而下自上而下仿真时期系统硬件设计后系统硬件设计后期期系统硬件设计早期系统硬件设计早期主要设计文件电路原理图电路原理图HDL语言程序语言程序数字系统的两种设计方法比较数字系统的两种设

17、计方法比较2021/9/1727(二)由半导体器件公司推出的几种 可编程逻辑器件开发软件 可编程逻辑器件 PLD(Programmable Logic Device)是用于专用集成电路ASIC(Application Specific Integrated Circuit)的设计的通用器件,它的逻辑功能是由用户对器件编程来设定的。2021/9/1728目前生产和使用的目前生产和使用的PLDPLD产品主要有:产品主要有:1 现场可编程逻辑阵列FPLA (Field Programmable Logic Array)2.可编程阵列逻辑PAL (Programmable Array Logic)3.

18、通用逻辑阵列GAL(Generic Array logic)4.可擦除的可编程逻辑器件EPLD (Erasable Programmable Logic Device)CMOS工艺 1万门/片 5.现场可编程门阵列FPGA(Field Programmable Gate Array)集成度3万门/片2021/9/1729 EPLD和FPGA集成密度高,称为高密度PLD 以上五种PLD编程开发系统由硬件(计算机和编程器)和软件(专用编程软件)构成。6.在系统可编程器件ISP(In-System Programmable)-PLD是新一代器件,不需编程器,只要将计算机编程产生的数据直接写入PLD就

19、可以了。其中高密度ISP-PLD又称为CPLD(Complex Programmable Logic Device)2021/9/1730PLDPLD的主要开发软件及开发商有:的主要开发软件及开发商有:CPLD开发软件Max Plus 2(Quatues)Altera公司 FPGA开发软件FundationXiLinX公司 ISPLSI开发软件 Expert(Synario)Lattice公司 集成化开发系统软件包 优秀PLD开发程序的集成 XACT5.0 XinLinX公司 ISP Synario SystemLattice公司2021/9/1731PLD的发展PAL(Programmabl

20、e Array Logic)GAL(Generic Array Logic)CPLD(触发器有限而乘积项丰富的算法和组合逻辑结构.系统断电编程信息不丢失)FPGA(触发器丰富的时序逻辑结构,编程信息在系统断电时丢失,故可动态配置)2021/9/1732CPLD的设计原理数字电路可以用逻辑表达式来表示。如一位全加器可以根据真值表写出它的逻辑表达式:SumxyCinxyCinxyCinxyCinCoutxyxyCinxyCin特点:每个输出都是由输入变量的与和或操作组合而成。2021/9/1733CPLD的结构示意的结构示意Y1X1X2X3X2X3X1X3Y2=X1X2X3X1X22021/9/1

21、734一、图形设计方式二、基于HDL的设计数字系统的设计描述方法数字系统的设计描述方法2021/9/1735数字系统的设计描述方法 一、图形设计方式常用于设计规模较小的电路和系统适合描述电气连接关系和接口关系EDA工具必须提供元件库或宏单元库优点:直观、形象直观、形象对表现层次结构、模块化结构更为方便对表现层次结构、模块化结构更为方便缺点:不适于描述逻辑功能不适于描述逻辑功能通用性、可移植性较弱通用性、可移植性较弱2021/9/1736数字系统的设计描述方法 例 电子秒表电路的顶层图形文件2021/9/1737数字系统的设计描述方法二、基于HDL的设计硬件描述语言(HDL,Hardware D

22、escription Language)是一种用是一种用形式化形式化方法(即文本形式)来描述和设计方法(即文本形式)来描述和设计数字电路和数字系统的语言。数字电路和数字系统的语言。一种专门用于一种专门用于PLDPLD设计的高级模块化语言。设计的高级模块化语言。是电子系统硬件是电子系统硬件行为行为描述、描述、结构结构描述、描述、逻辑逻辑描述的描述的语言语言 。HDL常用来设计规模较大、复杂规模较大、复杂的电子系统用用HDLHDL描描述设计述设计编程下载编程下载EDAEDA工具工具综合、仿真综合、仿真目标文件目标文件所谓的高层设计所谓的高层设计(High Level Design)(High Le

23、vel Design)方法方法2021/9/1738数字系统的设计描述方法优点:能形象化、抽象地表示电路的结构和行为能形象化、抽象地表示电路的结构和行为适于描述适于描述逻辑逻辑功能功能借用高级语言简化电路的描述借用高级语言简化电路的描述具有电路仿真与验证机制具有电路仿真与验证机制便于文档管理便于文档管理易于理解和移植重用易于理解和移植重用缺点:不如图形设计方式直观不如图形设计方式直观较广泛使用的有3种:VHDL、Verilog HDL和AHDL2021/9/1739数字系统的设计描述方法Verilog HDLVHDL成为成为IEEEIEEE标准标准1995年年1987年年语法结构语法结构比比V

24、HDL简单简单语法结构比较严格,模块语法结构比较严格,模块风格风格比较清晰比较清晰学习难易程度学习难易程度容易掌握容易掌握较难掌握较难掌握建模能力建模能力门级开关电路描述方面门级开关电路描述方面很强很强系统级抽象能力较强系统级抽象能力较强测试激励模块容易编写测试激励模块容易编写适合由多人合作完成的适合由多人合作完成的特大型项目(一百万门以特大型项目(一百万门以上)。上)。较多的第三方工具的较多的第三方工具的支持支持仿真工具比较好用仿真工具比较好用Verilog HDL Verilog HDL 与与VHDLVHDL的比较的比较2021/9/1740数字系统的设计描述方法VHDLVHSIC Har

25、dware Description Language(VHSICVHSICVery High Speed Integrated Very High Speed Integrated CircuitsCircuits),),甚高速集成电路甚高速集成电路硬件描述语硬件描述语言。言。来源于美国军方。来源于美国军方。19871987年成为年成为IEEEIEEE标准。标准。全方位全方位HDLHDL,包括从系统到电路的所有,包括从系统到电路的所有设计层次。设计层次。支持结构、数据流(逻辑)和行为支持结构、数据流(逻辑)和行为3 3种描种描述形式的混合描述。述形式的混合描述。2021/9/1741数字系统的

26、设计描述方法v特点 (1)数据类型丰富数据类型丰富标准数据类型:不仅有整数、布尔、字符、字符串等数据类型,还有标准数据类型:不仅有整数、布尔、字符、字符串等数据类型,还有位型(位型(BitBit)、位矢量型()、位矢量型(Bit-VectorBit-Vector)、时间型()、时间型(TimeTime)等数据类型;)等数据类型;允许自定义数据类型,如枚举、数组或记录等。允许自定义数据类型,如枚举、数组或记录等。(2)层次结构性层次结构性将一个数字系统划分为若干个模块来描述,每个模块包括将一个数字系统划分为若干个模块来描述,每个模块包括实体实体EntityEntity与与构造体构造体Archit

27、ectureArchitecture这两个设计单元;这两个设计单元;实体定义了与其他模块的接口(输入输出接口及参数),构造体描述实体定义了与其他模块的接口(输入输出接口及参数),构造体描述了模块内部的结构和行为状态了模块内部的结构和行为状态 (3)串行性与并行性串行性与并行性语句分为两类:进程性语句(串行执行)和元件调用语句(并行执行)语句分为两类:进程性语句(串行执行)和元件调用语句(并行执行);(4)支持结构描述和行为描述支持结构描述和行为描述对同一电路,支持多种描述方法。用元件调用语句描述各子模块的相对同一电路,支持多种描述方法。用元件调用语句描述各子模块的相互连接,是互连接,是结构结构描述;进程内的串行语句描述模块的逻辑功能,是描述;进程内的串行语句描述模块的逻辑功能,是行行为为描述。描述。2021/9/1742

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 高考资料

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com