波形发生器的电路设计.doc

上传人:飞****2 文档编号:61376426 上传时间:2022-11-21 格式:DOC 页数:17 大小:565KB
返回 下载 相关 举报
波形发生器的电路设计.doc_第1页
第1页 / 共17页
波形发生器的电路设计.doc_第2页
第2页 / 共17页
点击查看更多>>
资源描述

《波形发生器的电路设计.doc》由会员分享,可在线阅读,更多相关《波形发生器的电路设计.doc(17页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、提示,按照要求进行修改后,请将所有批注删除!黑龙江大学本科生毕业论文(设计)档案编码:jx21-045-W-学号将学号两字删除,添加自己的学号学院:电子工程学院专业:集成电路设计与集成系统年级:2006学生姓名添加自己的姓名:毕业论文题目田间毕业论文题目:指导教师添加指导教师姓名:装订日期: 2010年 5 月 28 日备注栏摘 要根据现代电子系统对信号源的频率稳定度、准确度及分辨率越来越高的要求,也是为了能过方便的产生波形平滑、频率稳定的任意波形,本文提供了一种任意波形发生器的设计方案。从而结合直接数字式频率合成器(DDS)的优点,利用FPGA芯片的可编程性和实现方案易改动的特点,提出一种基

2、于FPGA和DDS技术的任意波形发生器设计方案。采用VHDL(运用自顶向下设计思想设计多功能数字波形发生器的问题)和原理图输入方式,在Quartus II平台下实现该设计的综合、仿真。通过实验可以看出,采用该方法设计的任意波形发生器输出的波形与传统的波形发生器相比,具有波形平滑、无毛刺、波形稳定度高、频率稳定度和分辨率高等众多优点。而且该波形发生器电路简单,程控方便,产生的波形具有相噪好、频率步进低、输出电平分辨率小和相位可调等优点。关键词波形发生器;现场可编程门阵列;直接数字频率合成AbstratAccording to modern electronic systems for signa

3、l source frequency stability, accuracy and resolution of increasingly high demands, also have a wave in order to facilitate smooth any waveform, frequency stability, this article provides you with an arbitrary waveform generator design. Combination of direct digital frequency synthesizer (DDS) the a

4、dvantages of using programmable FPGA chip and solution features easy changes, proposed a design based on FPGA and arbitrary waveform generator based on DDS technology programmer. VHDL (using top-down design problems of the design of multifunction digital waveform generator) and schematic capture, Qu

5、artus II implements the integrated design, simulation platform. Through experiments, we can see, using the method output waveforms of arbitrary waveform generator and the design of tradition than waveform generator, smooth, glitch-free, with waveform wave high stability, and high frequency stability

6、 and resolution of many benefits. And the waveform generator circuit is simple, easy to program, the resulting wave with phase noise, low step frequency, output level resolution and phase adjustment and other benefits.Keywordswaveform generator; field programmable gate arrays; direct digital frequen

7、cy synthesis目录摘 要IAbstractII第一章 绪论11.1 研究背景11.2目的意义11.3国内外研究现状21.3.1外研究现状21.3.2内研究现状21.4国内外研究发展趋势21.5 论文内容及安排3第二章 数字密码锁的基本原理42.1 设计原理42.1.1 密码锁设计总体框架42.1.2密码总量的确定42.1.3密码制式的选择52.2 密码锁的结构体52.2.1 分频模块52.2.2盘模块62.2.3 信号控制处理模块82.2.4 显示模块92.3 本章小结:9第三章 基于FPGA的设计及VHDL语言介绍103.1 FPGA开发简介及发展103.1.1 FPGA设计方法及

8、流程103.1.2 发展趋势103.1.3发展特点113.2 硬件描述语言简介113.3本章小结13第 四 章 QUARTUS系统环境下FPGA数字密码锁的仿真及分析144.1 QUARTUS简介144.2 程序仿真及分析144.3 本章小结18结论19参考文献20附录一21致谢33第一章 绪论1.1 研究背景 FPGA(Field Programmable Gate Array)即现场可编程门阵列,属于可编程逻辑器件的一种,是目前广泛采用的一种可编程器件,它的应用不仅使数字电路系统的设计非常方便,并且还大大缩短了系统研制的周期,缩小了数字电路系统的体积,而且其时钟频率已可达到几百兆赫兹,加上

9、它的灵活性和高可贵性,非常实用与波形发生器的数字电路部分。可编程逻辑器件(Programmable Logic Device, PLD)起源于20世纪70年代,是在专用集成电路的基础上发展起来的一种新型逻辑器件,是当今数字系统设计的主要硬件平台,其主要特点就是完全由用户通过软件进行配置和编程,从而完成某种特定的功能,且可以反复擦写。在修改和升级PLD时,不需额外地改变PCB电路板,只是计算机上修改和更新程序,是硬件设计工作成为软件开发工作,缩短了系统设计的周期,提高了实现的灵活性并降低了成本,因此获得了广大硬件工程师的青睐,形成了巨大的PLD产业规模。作为一种为电子测量和计量提供电信号的设备,

10、它和万用表、示波器、频率计等仪器一样,是最普通、最基本,也是应用最广泛的电子仪器之一,几乎所有点参量的测量都需要用到信号发生器。从本质上看,测量时一个将客观物理量转换成测试信息量的变换过程1。1.2目的意义在电子技术领域,常常需要频率、波形、幅度都可调节的电信号,用于产生这种电信号的电子仪器称作信号发生器。随着现代电子技术的发展,在雷达、宇航、导航、通讯、电视广播、电子测量、电子对抗和遥控遥测等实用领域,人们对信号源的频率稳定度、频率纯度、范围和输出频率等提出了越来越精密的要求。而为了提高频率的稳定度,人们经常采用的是晶体振荡器等方法来解决,但是已不能满足众多应用场合的要求。伴随着电子测量技能

11、与计算机技能的紧密结合,一种新的信号发生器任意波形发生器应运而生,它可产生由用户定义的任意复杂的波形,因而具有广阔的运用发展前景。1.3国内外研究现状自从上世纪40年代惠普为美国海军实验室开发出第一台信号发生器开始,信号发生区一直随着电子技术、半导体技术和计算机技术的发展而发展,几乎成为这些技术发展的缩影。从技术上看,信号发生器经历了由模拟信号发生器、数字信号发生器到虚拟信号发生器的发展过程2。传统的波形发生器只能产生一些常规的信号如脉冲波、方波、正弦波、三角波等。随着科学的不断发展,传统的发生器在一些场合已经不能满足特定的要求了,在许多研究领域中,不但需要一些常规的信号,还需要一些不规则信号

12、。如某些电子设备的性能指标测试、系统中各种瞬变波形和电子设备中出现的各种干扰的模拟研究,还比如说电镀电源对于镀层的影响等。对于这项领域的研究,我国起步较晚,与国外先进技术相比还有很大差距,因此开发高性价比的任意波形发生器是迫在眉睫,对于我国电子行业有很大的意义,具有很广泛的应用前景,也可打破国外技术的垄断和封锁。1.4 论文内容及安排第二章 波形发生器的基本原理2.1 基本原理由于是结合直接数字式频率合成器,首先先介绍几个概念:1频率稳定度:频率稳定度标识了工作频率的稳定程度。频率稳定度a频率稳定度发信机的每个波道都有一个标称的射频中心工作频率,用f0表示。工作频率的稳定度取决于发信本振源的频

13、率稳定度。设实际工作频率与标称工作频率的最大偏差值为f,则频率稳定度的定义为频率稳定度式中为K为频率稳定度。(K=f/f0)2频率分辨率:频率分辨率是指将两个相邻谱峰分开的能力。在实际应用中是指分辨两个不同频率信号的最小间隔。3输出频率输出范围:输出频率范围是指频率合成器输出最低频率fomin和最高频率fomax之间的变化范围。fmaxfmin越大,频率合成器的输出频率范围越宽,有时也对相对带宽f来衡量其输出频率范围: f=fmax-fmin)/(fmax+fmin)/2*100%第三章 基于FPGA的设计及VHDL语言介绍3.1 FPGA开发简介及发展3.1.1 FPGA设计方法及流程FPG

14、A是可编程芯片,因此FPGA的设计方法包括硬件设计和软件设计两部分。硬件包括FPGA芯片电路、存储器、输入/输出接口电路以及其他设备,软件即是相应的HDL程序以及最新才流行的嵌入式C程序。目前微电子技术已将发展到SOC阶段,即集成系统(Integrated System)阶段,相对于集成电路(IC)的设计思想有着革命性的变化。SOC是一个复杂的系统,它将一个完整产品的功能集成在一个芯片上,包括核心处理器、存储单元、硬件加速电源以及众多的外部设备接口等,它具有设计周期长、实现成本高等特点,因此器设计方法必然是自顶向下的从系统级到功能模块的软、硬件协同设计,达到软、硬件的无缝结合。自顶向下的设计流

15、程从系统级设计开始,划分为若干个二级但愿,再把各个二级单元划为下一层次的基本,一直下去,知道能够使用基本模型或者IP核直接实现为止。流行的FPGA 开发工具都提供了层次化管理,可以有效地梳理复杂的层次,使得用户能够方便地查看某一层次模块的源代码,以便修改错误。FPGA的设计流程就是利用EDA开发软件和编程工具对FPGA芯片记性开发的过程。FPGA的开发流程一般包括电路功能设计、设计输入、功能仿真、综合、综合后仿真、实现与布局布线、时序仿真与验证、板级仿真与验证以及芯片编程与调试等主要步骤。3.1.2 发展趋势可编程逻辑器件的发展可以划分为4个阶段,即从20世纪70年代初到70年代中为第一阶段,

16、20世纪70年代中到80年代为第二阶段,20世纪80年代到90年代末为第三阶段,20世纪90年代末到目前为第四阶段。第一阶段的可编程器件只有简单的可编程只读存储器(PROM)紫外线可擦除只读存储器(IPROM)和电可擦除存储器(EEPROM)3种。由于结构的限制,他们只能完成简单的数字逻辑功能。第二阶段出现了结构上稍微复杂的可编程阵列逻辑(PLA)和通用阵列逻辑(GAL)器件,正式被成为PLD,能够完成各种逻辑预算功能。典型的PLD由“与”、“非”阵列组成用“与或”表达式来实现任意组合逻辑,所以PLD能以乘积和形式完成大量的逻辑组合。第三阶段,Xilinx和Altera公司分别推出了与标准门阵

17、列类似的FPGA以及类似于PLA结构的扩展性CPLD。它们提高了逻辑运算的速度,具有体系结构和逻辑单元灵活、集成度高以及使用范围宽等特点,兼容了PLD和通用门阵列的有点,能够实现超大规模的电路,编程方式也很灵活,成为产品原型设计和中小规模(一般小于10000)产品生产的首选。第四阶段出现了SOPC(System On Programmable Chip,编程的片上系统)和SOC(System On Chip,片上系统)技术。它们是PLD和ASIC技术融合的结果,涵盖了实时化数字信号处理技术、高速数据首发期间、复杂计算以及嵌入式系统设计技术的全部内容。Xilinx和Altera公司也推出了相应的

18、SOCFPGA产品,制造工艺已达到65um,系统门数也超过百万门。3.1.3发展特点大容量、低电压、低功耗FPGA。由于便携式应用产品的发展,对FPGA的低电压、低功耗、的要求日益迫切。因此,无论那个厂家、那种类型的产品,都在瞄准这个方向而努力。系统级高密度FPGA。随着生产规模的提高,产品应用成本的下降,FPGA的应用已经不是过去的仅仅使用于系统接口部件的现场集成,而是将它灵活地应用于系统级(包括其核心功能芯片)设计之中。FPGA和ASIC出现相互融合。虽然标准逻辑ASIC芯片尺寸小、功能强、低功耗,但其设计复杂,并且有批量要求。FPGA价格较低廉,能在现场进行编程,但它们体积大、能力有限,

19、而且功耗比ASIC大。正因如此,FPGA和ASIC正在互相融合,取长补短。动态可重构FPGA。动态可重构FPGA是指在一定条件下芯片不仅具有在系统重新配置电路功能的特性,而且还具有在系统动态重构电路逻辑的能力。3.2 硬件描述语言简介可变成逻辑器件内部包含可编程的“与或”门阵列或者查找表结构以及可编程的触发器,这些资源来提供了实现包括组合逻辑电路和时序逻辑电路的硬件基础。如果试图通过手工对每个逻辑门的输入和触发器的工作进行状态进行“编程”,可以想象那是多么的麻烦。在实际电路的组装方面,这样与基于标准逻辑器件设计数字系统相比并没有实质性的改变。电子设计自动化(Electronic Design

20、Automatic, EDA)技术应用计算机克服了上述困难为可编程逻辑器件提供了一种简洁且方便的方法。电子设计自动化技术,使得设计者的工作仅限于利用软件的方式来实现系统的硬件功能。在电子设计自动化的工具平台上,设计者可以使用硬件描述语言(Hardware Description Language, HDL)描绘出硬件的结构和行为;接着完成设计文件的逻辑编译、罗技综合、逻辑优化以及仿真测试;最后把完成的设计下载到可编程逻辑器件中。可编程逻辑器件被编程以后,这个可编程逻辑器件便有了相应的功能。美国国防部在20世纪70年代末和80年代初提出了VHSIC(Very High Speed Integra

21、ted Circuit)计划,VHSIC计划的目标是为下一代集成电路的生产、实现阶段性的工艺极限以及完成10万门级以上的设计,建立一项新的描述方法。1981年,美国国防部提出了一种新的硬件描述语言,称为“超高速集成电路硬件描述语言”(VHSIC Hardware Description Language),简称VHDL。当这个语言被首次开发出来时,其目标只是一个使电路文本化的一种标准,主要是为了使用采用了文本描述的设计能够为其他人锁理解,同时也用作模型语言,能采用软件进行模拟。VHDL的结构和设计方法收到了Ada语言的影响,并吸收了其他硬件描述语言的优点。1986年,IEEE致力于VHDL的标

22、准化工作,为此成立了VHDL标准化小组,经过了多次的修改与扩充,知道1987年12月VHDL才被接纳为IEEE 1076标准。1988年,Milstd454规定所有为美国国防部设计的ASIC产品必须采用VHDL来进行描述。1993年,IEEE 1076标准被修订,更新为新的VHDL标准IEEE 1164。1996年,IEEE1076.3成为VHDL综合标准。今天,VHDL已经成为一个数字电路和系统的描述、建模、综合的工业国际标准,因此在电子产业界获得了广泛的应用。VHDL能够成为标准并获得广泛应用,必然具有与其他硬件描述语言不同的地方,这恰恰是VHDL的优越性所在。下面我们对VHDL的特点惊醒

23、介绍,首先来看看VHDL的有点:功能强大、设计灵活。VHDL具有功能强大的语言结构,可以用简洁明确的程序来描述复杂的逻辑功能。为了有效控制设计的实现,它还具有多层次的设计描述功能,支持设计库和可重复使用的元件生成;它支持阶层设计,层层细化,最后可直接生成电路级描述;VHDL还支持同步电路、异步电路和随即电路的设计。VHDL的设计非常灵活,这主要源于VHDL支持各种设计方法。强大的系统硬件描述能力。VHDL具有多层次描述系统硬件功能能力,可以从系统的数学模型知道门级电路。另外,高层次的行为描述可以与低层次的寄存器传输描述和结构描述混合使用。VHDL能进行系统级的硬件描述,这是它最突出的优点。移植

24、能力强。由于电子设计自动化技术的普及和推广,不同的EDA厂商纷纷退出自己的EDA开发工具。各个EDA开发工具的不同导致了模拟工具、开发工具和操作平台的不同,这样就会对一些硬件描述语言的使用产生了限制。而这对VHDL的使用没有丝毫的影响,现在几乎所有的EDA开发工具都支持VHDL,这也正是VHDL广泛使用的重要原因。VHDL语法规范、标准,易于共享与复用。VHDL语法规范、标准,可读性强。用VHDL书写的源文件既是程序,又是文档;既是技术工程人员进行设计成果交流的文件,也可作为合同签约者之间的合同文本。支持广泛、易于修改。由于与工艺无关易于ASIC移植上市时间短、成本低。VHDL作为IEEE的工

25、业标准具有许多其他硬件描述语言所不具有的优点以外,它也存在一些缺点:不具有描述模拟电路的能力。虽然研究结果证明VHDL可以扩展到电路级上,但在电路级上VHDL并不是一种理想的语言。目前IEEE的1076.1小组正在设计一种心的语言,这种语言能够描述模拟电路和数模混合电路。这个新雨燕将以VHDL为基础,并在此基础上增加描述模拟电路的扩展内容。综合工具生成的逻辑实现有时不最佳。技术设计人员采用综合工具锁生成的逻辑实现有时候并不能让人满意,因为优化的结果往往依赖于设计的目标。EDA工具的不同导致中和质量的不同。不同的EDA工具对同一VHDL描述进行综合,往往产生不同的综合质量,这是因为不同的EDA工

26、具采用不同的算法所致。3.3本章小结 本章简单介绍了FPGA的设计方法、流程、发展趋势和特点。并介绍了EDA工具和VHDL的创立、发展历史,了解了VHDL语言的优点、缺点以及发展。第 四 章 QUARTUS系统环境下FPGA波形发生器的仿真及分析4.1 QUARTUS简介 本设计是用ALTERA公司提供的QUARTUS可编程器件的集成开发软件。该软件是一种优秀的电子设计自动化平台,它提供了从设计输入、设计综合、仿真测试以及可编程逻辑器件的编程/配置等开发环节的全过程支持。QUARTUS是ALTERA公司提供的可编程逻辑器件的集成开发软件,是该公司前一代可编程逻辑器件开发的整个过程,它提供一种与

27、器件结构无关的设计环境,使设计者方便地进行设计输入、设计处理和器件编程。QUARTUS集成开发软件适合多种平台的工作环境,其中包括PC的Microsoft Windows XP。它支持更多种类的可编程逻辑器件的开发,同时也提供在片可编程系统(System on a Programmable Chip , SOPC)设计的综合性环境和基本设计工具。另外,QUARTUS集成开发软件也可以利用第三方软件的结果,并支持第三方软件的工作。QUARTUS集成开发软件的核心是模块化的编译器。编译器包括的功能模块有分析与综合器(Analysis & Synthesis)、适配器(Fitter)、装配器(Ass

28、embler)、时序分析器(Timing Analyzer)、设计辅助模块(Design Assistant)、以及EDA网表文件生成器(EDA Netlist Writer)等。可编程逻辑器件开发的所有过程为:涉及输入、综合、布局和布线、验证和仿真以及可编程逻辑器件的编程或配置。QUARTUS集成开发软件允许用户在开发过程中,使用QUARTUS图形用户界面、EDA工具界面和命令行界面。用户可以再整个开发过程中使用这些界面中的任意一个,也可以在开发过程中的不同步骤使用不同的界面。4.2 程序仿真及分析结论参考文献附录一程序清单:致谢在本论文的写作过程中,我的导师邱成军老师倾注了大量的心血,从选题到开题报告,从写作提纲,到一遍又一遍地指出每稿中的具体问题,严格把关,循循善诱,在此我表示衷心感谢。当然,同时我还要感谢在我学习期间给我极大关心和支持的各位老师以及关心我的同学和朋友,难忘那些一起学习的日子,这些都让我得到了进步。程序经过不断调试,最终得以实现。HhhhGgg写作毕业论文是一次再系统学习的过程,毕业论文的完成,同样也意味着新的学习生活的开始。最后,再一次感谢我的老师和帮助我的同学们。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 教案示例

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com