EDA交通灯程序设计.doc

上传人:飞****2 文档编号:60950822 上传时间:2022-11-19 格式:DOC 页数:6 大小:341KB
返回 下载 相关 举报
EDA交通灯程序设计.doc_第1页
第1页 / 共6页
EDA交通灯程序设计.doc_第2页
第2页 / 共6页
点击查看更多>>
资源描述

《EDA交通灯程序设计.doc》由会员分享,可在线阅读,更多相关《EDA交通灯程序设计.doc(6页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、EDA交通灯程序设计实验目的1. 学习较复杂数字电路系统的设计; 2. 熟悉Quartus软件的使用方法;3. 学习VHDL 基本逻辑电路和状态机电路的综合设计应用。一、 实验基本原理本实验中主要应用了状态机以及减法器的设计原理。在状态连续变化的数字系统设计中,采用状态机的设计思想有利于提高设计效率,增加程序的可读性,减少错误的发生几率。同时,状态机的设计方法也是数字系统中一种最常用的设计方法。一般来说,标准状态机可以分为穆尔(Moore)机和米利(Mealy)机两种。在穆尔机中,其输出仅仅是当前状态值的函数,并且仅在时钟上升沿到来时才发生变化。米利机的输出则是当前状态值、当前输出值和当前输入

2、值的函数。二、 实验内容和要求设计一个主干道和支干道十字路口的交通灯控制电路,要求如下:一般情况下,保持主干道畅通,主干道绿灯亮、支干道红灯亮,并且主干道绿灯亮的时间不得少于60s。主干道无车,支干道有车,则主干道红灯亮、支干道绿灯亮,但支干道绿灯亮的时间不得超过30s。每次主干道或支干道绿灯变红灯时,黄灯先亮5s。设计要求:1. 有MR(主红)、MY(主黄)、MG(主绿)、CR(乡红)、CY(乡黄)、CG(乡绿)六盏交通灯需要控制;2. 交通灯由绿转红有5秒黄灯亮的间隔时间,由红转绿没有间隔时间;3. 系统有MRCY、MRCG、MYCR、MGCR四个状态;4. 乡间公路右侧各埋有一个传感器,

3、当有车辆通过乡间公路时,发出请求信号S=1,其余时间S=0;5. 平时系统停留在MGCR(主干道通行)状态,一旦S信号有效,经MYCR(黄灯状态)转入MRCG(支干道通行)状态,但要保证MGCR的状态不得短于一分钟;6. 一旦S信号无效,系统脱离MRCG状态。随即经MRCY(黄灯状态)进入MGCR状态,即使S信号一直有效,MRCG状态也不得长于30秒钟。三、 所需器材PC机一台Quartus II软件EDA实验箱一台下载电缆一根(已接好)导线若干四、 实验步骤1. 用文本输入法设计交通信号控制器(1) 由实验要求写出设计思路如下:a) 主、支干道各设有一个绿、黄、红指示灯,两个显示数码管。b)

4、 主干道处于常允许通行状态,而支干道有车来才允许通行。当主干道允许通行亮绿灯时,支干道亮红灯。而支干道允许通行亮绿灯时,主干道亮红灯。c) 当主、支干道均有车时,两者交替允许通行,主干道每次放行60s,支干道每次放行30s,在每次由亮绿灯变成亮红灯的转换过程中,要亮5s的黄灯作为过渡,并进行减计时显示。整个交通控制器的状态转换图如下图示(仅画出主干道状态转换图,支干道类似):主干道状态转换图S=0立刻转换下一状态S=1S=1S=0S=0S=1STEP 0 系统下载,主干道开始60s倒计时,不论S有无信号,皆为MGCR状态。判断SSTEP 1 保持MGCR状态,显示保持5秒。STEP 2 转入M

5、YCR状态,开始5s倒计时STEP 3 转入MRCG状态,开始30s倒计时判断SSTEP 4 转入MRCY状态,开始5s倒计时程序如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY AAA ISPORT(CLK0,RST,S:IN STD_LOGIC; OUT1,OUT2:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); C,M:BUFFER STD_LOGIC_VECTOR(7 DOWNTO 0); MR

6、,MY,MG,CR,CY,CG:OUT STD_LOGIC);END ENTITY;ARCHITECTURE BBB OF AAA ISSIGNAL C1,C5,C30,C60,M1:STD_LOGIC_VECTOR(7 DOWNTO 0);SIGNAL CLK,EN5,EN30,EN60,RST5,RST30,RST60:STD_LOGIC;TYPE STATES IS(MGCR,MYCR,MRCY,MRCG);SIGNAL STATE:STATES;BEGIN PRO0:PROCESS(CLK0) beginif (clk0event and clk0=1) then clk=not cl

7、k; end if; end process;pro1:PROCESS(RST,RST5,EN5,CLK,C5) BEGIN IF RST=1 OR RST5=1 THEN C5=; ELSIF CLKEVENT AND CLK THEN IF EN5=1 THEN C5=C5-1; END IF; END IF; END PROCESS;-四秒倒计数pro2:PROCESS(RST,RST30,EN30,CLK,C30) BEGIN IF RST=1 OR RST30=1 THEN C30 THEN IF EN30=1 THEN IF C30(3 DOWNTO 0)=0000 THEN C3

8、0=C30-7; ELSE C30=C30-1; END IF; END IF; END IF; END PROCESS;-20秒倒计数 pro3:PROCESS(RST,RST60,EN60,CLK,C60,M) BEGIN IF RST=1 OR RST60=1 THEN C60=;M1 THEN IF C60(3 DOWNTO 0)=0000 THEN C60=C60-7; ELSE C60=C60-1; END IF;-60秒倒计数 ELSIF C60= THEN if s=0 then C60=; end if; IF M1(3 DOWNTO 0)=1001 THEN M1=M1+7

9、; ELSE M1=M1+1; END IF; END IF; END IF; END IF; M=M1; END PROCESS; pro4:PROCESS(CLK,RST)-状态机设计,实现状态转换 BEGIN IF RST=1 THEN STATE=MGCR; MG=1; MY=0; MR=0; CG=0; CY=0; CR MG=1; MY=0; MR=0; CG=0; CY=0; CR=1; RST5=1; RST30=1;RST60=0; EN5=0; EN30=0;EN60=1; C0 THEN STATE MG=0; MY=1; MR=0; CG=0; CY=0; CR=1;

10、RST5=0; RST30=1;RST60=1; EN5=1; EN30=0;EN60=0; C=C5; IF S=1 AND C5= THEN STATE MG=0; MY=0; MR=1; CG=1; CY=0; CR=0; RST5=1; RST30=0;RST60=1; EN5=0; EN30=1;EN60=0; C=C30; IF S=0 OR C30= THEN STATE MG=0; MY=0; MR=1; CG=0; CY=1; CR=0; RST5=0; RST30=1;RST60=1; EN5=1; EN30=0;EN60=0; C=C5; IF C5= THEN STAT

11、E=MGCR; END IF; END CASE; END IF; C1=C; OUT1=C(7 DOWNTO 4); OUT2=C(3 DOWNTO 0); END PROCESS;-状态机设计,实现状态转换 END ARCHITECTURE; (2)对文件保存并进行编译仿真,仿真波形如下:RST信号有效时不计数,主干道在前60s,无论s是否有信号,都不改变状态到达60s且s有信号,状态改变,主干道黄灯支干道红灯5s后转为主干道红灯支干道绿灯。再经30s状态改变,主干道红灯支干道黄灯5s后转为主干道绿灯乡村道红灯,继续等待。(3)在验证仿真正确后进行管脚绑定如下图,然后进行下载测试。注:M(7 DOWNTO 0)为判定主干道是否允许通行60s以上的计数器,可以不输出。(4)测试: 按下键2,开始主绿支红的60秒倒计时,倒计时完后,如果此时键3已经按下,则转为主黄支红5秒,然后转为主红支绿30秒,过后转为主红支黄5秒,然后回到刚初始状态,以此循环。五、 实验心得总结通过本次实验,我学习了较复杂数字电路系统的设计;并熟悉了Quartus软件的使用方法,而且学习了VHDL 基本逻辑电路和状态机电路的综合设计应用。理论结合实际,很好地实现了学以致用。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 教案示例

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com