电子设计自动化技术EDA.ppt

上传人:豆**** 文档编号:60176180 上传时间:2022-11-14 格式:PPT 页数:70 大小:2.34MB
返回 下载 相关 举报
电子设计自动化技术EDA.ppt_第1页
第1页 / 共70页
电子设计自动化技术EDA.ppt_第2页
第2页 / 共70页
点击查看更多>>
资源描述

《电子设计自动化技术EDA.ppt》由会员分享,可在线阅读,更多相关《电子设计自动化技术EDA.ppt(70页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、电子设计自动化技术EDA Still waters run deep.流静水深流静水深,人静心深人静心深 Where there is life,there is hope。有生命必有希望。有生命必有希望第三章 MAXPlus II软件使用介绍原理图输入设计方法VHDL语言输入设计方法3.1 13.1 1位全加器设计向导位全加器设计向导3.1.1 基本设计步骤基本设计步骤步骤步骤1:为本项工程设计建立文件夹:为本项工程设计建立文件夹注意:注意:文件夹名不能用中文,且不可带空格。文件夹名不能用中文,且不可带空格。为设计全加器新建一个文件夹作工作库文件夹名取为My_prjct注意,不可用中文!步骤

2、步骤2:输入设计项目和存盘:输入设计项目和存盘图图3-1 进入进入MAX+plusII,建立一个新的设计文件建立一个新的设计文件使用原理图输入方法设计,必须选择打开原理图编辑器新建一个设计文件图形编辑器窗口工作区域最大化按钮文本工具对角线工具圆形工具缩小按钮放大按钮关闭橡皮筋连接功能选择工具正交线工具与窗口适配弧形工具打开橡皮筋连接功能连接点接/断图图3-2 元件输入对话框元件输入对话框首先在这里用鼠标右键产生此窗,并选择“Enter Symbol”输入一个元件然后用鼠标双击这基本硬件库这是基本硬件库中的各种逻辑元件也可在这里输入元件名,如2输入与门AND2,输出引脚:OUTPUT连线如果需要

3、连接两个端口,将您的鼠标移到其中一个端口,则鼠标自动变为+形状。一直按住鼠标的左键并将鼠标拖到第二个端口。放开左键,则一条连接线被画好了。如果您需要删除一根连接线,单击这根连接线并按 Del 键。图图3-3 将所需元件全部调入原理图编辑窗将所需元件全部调入原理图编辑窗连接好的原理图连接好的原理图输出引脚:OUTPUT输入引脚:INPUT将他们连接成半加器图图3-4 连接好原理图并存盘连接好原理图并存盘首先点击这里文件名取为:h_adder.gdf注意,要存在自己建立的文件夹中步骤步骤3:将设计项目设置成工程文件:将设计项目设置成工程文件(PROJECT)图图3-5 将当前设计文件设置成工程文件

4、将当前设计文件设置成工程文件首先点击这里然后选择此项,将当前的原理图设计文件设置成工程最后注意此路径指向的改变注意,此路径指向当前的工程!步骤步骤4:选择目标器件并编译:选择目标器件并编译 图3-6 选择最后实现本项设计的目标器件选择最后实现本项设计的目标器件首先选择这里器件系列选择窗,选择ACEX1K系列根据实验板上的目标器件型号选择,如选EP1K30注意,首先消去这里的勾,以便使所有速度级别的器件都能显示出来图图3-7 对工程文件进行编译、综合和适配等操作对工程文件进行编译、综合和适配等操作选择编译器编译窗消去消去Quartus适配操作适配操作选择此项消去这里的勾完成编译!完成编译!步骤步

5、骤5:时序仿真:时序仿真(1)建立波形文件。建立波形文件。首先选择此项,为仿真测试新建一个文件选择波形编辑器文件(2)输入信号节点。输入信号节点。图图3-8 从从SNF文件中输入设计文件的信号节点文件中输入设计文件的信号节点从从SNF文件中文件中输入设计文件输入设计文件的信号节点的信号节点点击点击“LIST”SNF文件中文件中的信号节点的信号节点图图3-9 列出并选择需要观察的信号节点列出并选择需要观察的信号节点用此键选择左窗用此键选择左窗中需要的信号中需要的信号进入右窗进入右窗最后点击最后点击“OK”(3)设置波形参量。设置波形参量。图图3-10 在在Options菜单中消去网格对齐菜单中消

6、去网格对齐Snap to Grid的选择的选择(消去对勾消去对勾)消去这里的勾,消去这里的勾,以便方便设置以便方便设置输入电平输入电平(4)设定仿真时间。设定仿真时间。图图3-11 设定仿真时间设定仿真时间选择选择END TIME调整仿真时间调整仿真时间区域。区域。选择选择60微秒微秒比较合适比较合适(5)加上输入信号。加上输入信号。图图3-12 为输入信号设定必要的测试电平或为输入信号设定必要的测试电平或数据数据(6)波形文件存盘。波形文件存盘。图图3-13 保存仿真波形文件保存仿真波形文件用此键改变仿真用此键改变仿真区域坐标到合适区域坐标到合适位置。位置。点击点击1,使拖黑,使拖黑的电平为

7、高电平的电平为高电平(7)运行仿真器。运行仿真器。图图3-14 运行仿真器运行仿真器选择仿真器选择仿真器运行仿真器运行仿真器(8)观察分析半加器仿真波形观察分析半加器仿真波形。图图3-15 半加器半加器h_adder.gdf的仿真波形的仿真波形编译完成后,您可以利用定时分析器来分析您的项目的性能。定时分析器提供了三种分析模式:(9)为了精确测量半加器输入与输出波形间的延时量,可打开时序分析器为了精确测量半加器输入与输出波形间的延时量,可打开时序分析器.图图3-16 打开延时时序分析窗打开延时时序分析窗选择时序分析器选择时序分析器输入输出输入输出时间延迟时间延迟(10)包装元件入库。包装元件入库

8、。选择菜单选择菜单“File”“Open”File”“Open”,在在“Open”Open”对话框中选择对话框中选择原理图编辑文件选项原理图编辑文件选项“Graphic Editor Files”Graphic Editor Files”,然后选择然后选择h_adder.gdfh_adder.gdf,重新打开半加器设计文件,然后选择如图重新打开半加器设计文件,然后选择如图4-54-5中中“File”File”菜单的菜单的“Create Default Symbol”Create Default Symbol”项,将当前项,将当前文件变成了一个包装好的单一元件文件变成了一个包装好的单一元件(Sy

9、mbol)Symbol),并被放置在工并被放置在工程路径指定的目录中以备后用。程路径指定的目录中以备后用。步骤步骤6:引脚锁定:引脚锁定选择引脚选择引脚锁定选项锁定选项引脚窗引脚窗此处输入此处输入信号名信号名此处输入此处输入引脚名引脚名按键按键“ADD”即可即可注意引脚属性注意引脚属性错误引脚名将错误引脚名将无正确属性!无正确属性!再编译一次,再编译一次,将引脚信息将引脚信息进去进去选择编程器,选择编程器,准备将设计准备将设计好的半加器好的半加器文件下载到目文件下载到目器件中去器件中去编程窗编程窗步骤步骤7:编程下载:编程下载(1)下载方式设定。下载方式设定。图图3-18 设置编程下载方式设置

10、编程下载方式 在编程窗打开在编程窗打开的情况下选择的情况下选择下载方式设置下载方式设置选择此项下选择此项下载方式载方式(2)下载。下载。图图3-19 向向EF1K30下载配置文件下载配置文件下载(配置)下载(配置)成功!成功!步骤步骤8:设计顶层文件:设计顶层文件(1)仿照前面的仿照前面的“步骤步骤2”,打开一个新的原理图编辑窗口,打开一个新的原理图编辑窗口图图3-20 在顶层编辑窗中调出已设计好的半加器元件在顶层编辑窗中调出已设计好的半加器元件(2)完成全加器原理图设计完成全加器原理图设计,并以文件名并以文件名f_adder.gdf存在同一目录中存在同一目录中。(3)将当前文件设置成将当前文

11、件设置成Project,并选择目标器件为并选择目标器件为EPF10K10LC84-4。(4)编译此顶层文件编译此顶层文件f_adder.gdf,然后建立波形仿真文件。然后建立波形仿真文件。图图3-21 在顶层编辑窗中设计好全加器在顶层编辑窗中设计好全加器(5)对应对应f_adder.gdf的波形仿真文件,参考图中输入信号的波形仿真文件,参考图中输入信号cin、bin和和ain输输入信号电平的设置,启动仿真器入信号电平的设置,启动仿真器Simulator,观察输出波形的情况。观察输出波形的情况。(6)锁定引脚、编译并编程下载,硬件实测此全加器的逻辑功能。锁定引脚、编译并编程下载,硬件实测此全加器

12、的逻辑功能。图图3-22 1位全加器的时序仿真波形位全加器的时序仿真波形3.1.2 设计流程归纳设计流程归纳图图3-23 MAX+plusII一般设计流程一般设计流程打开编程器窗口首先确认编程器硬件已安装好。然后按如下步骤打开编程器窗口:在 MAX+PLUS II 菜单中选择 Programmer 项。编程器窗口如下图所示。将一个编程文件中的数据编程到一个 MAX 或 EPROM 器件中校验器件中的内容是否与当前编程数据内容相同检查确认器件是否为空为当前编程文件打开保密位选项显示项目的编程文件完成情况状态条将配置数据下载到一个 FLEX 器件中显示项目中所用的 Altera 器件的名称1.在

13、Option 菜单内选择Hardware Setup 项,然后在 Hardware Type 对话框 内选择适当的 Altera 编程器,最后按下 OK按钮。2.在编程器窗口中,检查您选择的编程文件和器件是否正确。在利用 Altera 编程器对 MAX 和 EPROM 系列器件进行编程 对 MAX 和 EPROM 器件进行编程时,要用后缀名是.pof 的文件.如果选择的编程文件不正确,可在 File 菜单中选择 Select Programming File 命令选择您的编程文件。3.将您的器件插到编程插座中。4.按下 Program 按钮。编程器将检查器件,并将您的项目编程到器件中,而且还将

14、检查器件中的内容是否正确。通过 JTAG 实现在系统编程一个编程目标文件(.pof)可以通过 ByteBlaster 直接编程到器件中。1.编 译一个项目,MAX+PLUS II 编译器将自动产生用于 MAX 器件的编程目标文件。4.在 Options 菜单中选择 Hardware Setup 命令.将出现 Hardware Setup 窗口5.在下拉条中选择 ByteBlaster 6.指定配置时使用的并行口7.按下 OK按钮2.将 ByteBlaster电缆的一端与微机的并行口相连,另一端10针阴级头与装可编程逻辑器件的 PCB板上的阳级头插座相连。该 PCB板还必须为 ByteBlast

15、er电缆提供电源。3.打开 MAX+PLUS II 编程器。设置在系统编程链8.在 JTAG 菜单中打开 Multi-Device JTAG-Chain 并选择 Multi-Device JTAG Chain Setup 项,进行多个器件的 JTAG 链的设置。对话框如下所示。9.选择 Select Programming File 并选出您的编程文件。10.该框内显示您选择的编程文件11.按下Add 按钮 13.当您完成设置后,按下 OK按钮14.按下 Program 按钮,开始 对JTAG器件链进行编程。12.如果您使用多个器件,重复 9-11步,要确保与您电路板上的顺序相同。利用 Byt

16、eBlaster配置 FLEX系列器件您可以在 MAX+PLUS II 中,通过ByteBlaster对多个 FLEX 器件进行在电路配置:1.首先编译一个项目,MAX+PLUS II 编译器将自动为 FLEX 器件产生一个SRAM目标文件(.sof)。2.将 ByteBlaster一端与微机的并行口相连,另一端10针阴级头与含有可编程逻辑器件的PCB板上的阳级头插座相连。该PCB板还必须为 ByteBlaster电缆提供电源。3.在MAX+PLUS II 菜单中打开编程器窗口。在 Options 菜单中选择 Hardware Setup 命令,在该窗口中选择 Byteblaster 并设定相

17、应的LPT口。4.如果只需要配置一个 FLEX 器件,首先检查在编程器窗口中的编程文件和器件是否正确。如果不正确,在 File 菜单中选择 Select Programming File 命令来改变编程文件。5.如果需要配置一个含多个 FLEX 器件的 FLEX 链,在 FLEX 菜单中打开 Multi-Device FLEX Chain,然后选择Multi-Device FLEX Chain Setup.接着按您电路板上的顺序添加FLEX编程文件。选定全部文件后,按下 OK按钮。6.在编程器窗口中按下 Configure 按钮。您也可以用Multi-Device JTAG-Chain来配置多

18、个FLEX器件。您也可以用Altera EPROM,或者用微处理器来配置FLEX器件。利用 ByteBlaster配置 FLEX系列器件STEP1:建立 工作库文件夹STEP2:输入设计项目原理图/VHDL文本代码STEP3:存盘,注意 原理图/文本取名STEP4:将设计项目设置成ProjectSTEP5:选择目标器件 STEP11:硬件测试STEP9:引脚锁定并编译STEP8:仿真测 试和波形分析STEP7:建立仿真波形文件STEP6:启动编译STEP10:编程 下载/配置VHDL文本输入设计流程 3.2 VHDL文本输入设计方法初步文本输入设计方法初步为设计全加器新建一个文件夹作工作库文件

19、夹名取为My_prjct注意,不可用中文!3.2.1 编辑输入并保存编辑输入并保存VHDL源文件源文件新建一个设计文件使用文本输入方法设计,必须选择打开文本编辑器图图3-26 在文本编辑窗中输入在文本编辑窗中输入VHDL文件并存盘文件并存盘图图3-25 建立文本编辑器对话框建立文本编辑器对话框文本编辑窗用键盘输入设计文件:多路选择器存盘文件名必须取为:mux21a.vhd注意,要存在自己建立的文件夹中文件存盘后,关键词将改变颜色!否则文件名一定有错!3.2.2 将当前设计设定为工程将当前设计设定为工程图图3-27 设定当前文件为工程设定当前文件为工程首先点击这里然后选择此项,将当前的原理图设计

20、文件设置成工程最后注意此路径指向的改变注意,此路径指向当前的工程!首先选择这里器件系列选择窗,选择ACEX1K系列根据实验板上的目标器件型号选择,如选EP1K30注意,首先消去这里的勾,以便使所有速度级别的器件都能显示出来选择编译器编译窗3.2.3 选择选择VHDL文本编译版本号和排错文本编译版本号和排错图图3-28 设定设定VHDL编译版本号编译版本号选择此项选择VHDL1993项选择此项消去这里的勾编译出错!3.2.3 选择选择VHDL文本编译版本号和排错文本编译版本号和排错图图3-29 确定设计文件中的错误确定设计文件中的错误打开错误提示窗错误所在错误所在改正错误完成编译!完成编译!首先

21、选择此项,为仿真测试新建一个文件时序仿真时序仿真选择波形编辑器文件从从SNF文件中文件中输入设计文件输入设计文件的信号节点的信号节点点击点击“LIST”SNF文件中文件中的信号节点的信号节点用此键选择左窗用此键选择左窗中需要的信号中需要的信号进入右窗进入右窗最后点击最后点击“OK”消去这里的勾,消去这里的勾,以便方便设置以便方便设置输入电平输入电平在在Options菜单中消去网格对齐菜单中消去网格对齐Snap to Grid的选择的选择(消去对勾消去对勾)选择选择END TIME调整仿真时间调整仿真时间区域。区域。在这里选择在这里选择65微秒微秒用此键改变仿真用此键改变仿真区域坐标到合适区域坐标到合适位置。位置。点击点击1,使拖黑,使拖黑的电平为高电平的电平为高电平先点击先点击b,将其将其点为黑色点为黑色然后先点击此处然后先点击此处将弹出时钟周期将弹出时钟周期设置窗设置窗设置输入信号设置输入信号b的周期为的周期为800ns设置输入信号设置输入信号a的周期为的周期为2us仿真波形文件仿真波形文件存盘!存盘!选择仿真器选择仿真器运行仿真器运行仿真器3.2.4 时序仿真时序仿真图图3-30 mux21a仿真波形仿真波形

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 小学资料

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com