二章节QuartusII软件应用.ppt

上传人:豆**** 文档编号:59592386 上传时间:2022-11-11 格式:PPT 页数:26 大小:267.50KB
返回 下载 相关 举报
二章节QuartusII软件应用.ppt_第1页
第1页 / 共26页
二章节QuartusII软件应用.ppt_第2页
第2页 / 共26页
点击查看更多>>
资源描述

《二章节QuartusII软件应用.ppt》由会员分享,可在线阅读,更多相关《二章节QuartusII软件应用.ppt(26页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、二章节QuartusII软件应用 Still waters run deep.流静水深流静水深,人静心深人静心深 Where there is life,there is hope。有生命必有希望。有生命必有希望一、概述Quartus软件是美国Altera公司的第四代EDA开发软件。它提供了一个一种与结构无关的设计环境,非常适应具体的设计需要。Quartus软件提供了方便的设计输入方式、快速的编译和直接易懂的器件编程。能够支持逻辑门数在百万门以上的逻辑器件的开发Quartus软件支持的器件有:Stratix、StratixGX、Stratix、Mercury、MAX3000A、MAX7000B

2、、MAX7000S、MAX7000AE、MAX、FLEX6000、FLEX10K、LEX10KA、FLEX10KE、Cyclone、Cyclone、PEX、APEX20KC、APEX20KE和ACEX1K系列。启动Quartus软件时出现的图形用户界面如图2-1所示。Quartus软件的图形用户界面分为几个大的区域,即:工程导航区、信息区、工作区、快捷工具条和菜单命令区。工程导航区工程导航区显示了当前工程的绝大部分重要信息,使用户对当前工程的文件层次结构、所有相关文档以及设计单元有一个很清晰的认识。工程导航区由三个部分构成。1、Hierarchy设计实体的层次结构,它清楚地显示了顶层实体和各调

3、用实体的层次关系。2、Files显示所有与当前工程相关联的文件,这些文件被归类在两个文件夹中:Device Design Files和OtherFiles。其中,Device DesignFiles中的文件是能够使工程成功编译或仿真所需要的最基本的文件。OtherFiles中放的是辅助文件。3、DesignUnits当前工程中的使用的所有设计单元。这些单元既包含Quartus软件中自带的设计模块(如乘法器、移位寄存器等),也包含用户自己设计的单元模块。信息区信息区用于显示系统在编译和仿真过程中所产生的指示信息。例如,语法信息、成功信息等。信息区提供七大类操作标记信息:ExtraInfo、Inf

4、o、Warning、CriticalWarning以及Error等。工作区工作区是用户对输入文件进行设计的空间区域。在工作区中,Quartus软件将显示设计文件和工具条以方便用户操作。快捷命令工具条快捷命令工具条是由若干个按钮组成的,单击按钮,可快速执行相应的操作。第三步:编译 对设计文件进行分析检错、综合、适配等,并产生多种输出文件,如定时分析文件、器件编程文件(*.sof,*.pof)、各种报告文件等。第四步:仿真(功能与时序仿真)第五步:引脚分配(指定具体的引脚号码)第六步:器件编程(用*.sof或*.pof文件对器件进行编程配置)二、Quartus原理图输入设计利用原理图输入设计的优点

5、是设计者不必具备许多诸如编程技术、硬件语言等较高级的知识就能快速入门,完成超大规模的电路系统设计。在Quartus软件平台上,使用图形编辑输入法设计电路的操作流程,包括:原理图编辑设计、编译、仿真和编程下载等基本过程。用Quartus图形编辑方式生成的图形文件的扩展名为.gdf或.bdf。利用Quartus软件设计数字系统之前,应该先建立一个文件夹,Quartus软件中任何一项设计都是一项工程,在工程设计过程中,会产生许多仅扩展名不同的同名文件,放在同一个文件夹下,便于统一管理。出于同样的原因,不同的设计项目最好放在不同的文件夹中。创建一个工程例1:用QuartusII 原理图输入设计方式设计

6、半加器第一步:创建一个工程(1)启动QuartusII开发软件(2)选择File/New Project Wizard,建立一个文件夹halfadder1,工程名为halfadder1,顶层文件为halfadder1,之后照提示进行操作,直至工程创建完成。工程文件的后缀名是:工程文件的后缀名是:*.qpf第二步:编辑设计文件(1)打开图形编辑器对话框(2)单元符号输入(3)建立完整的原理图设计文件(4)保存设计文件原理图文件的后缀名是:原理图文件的后缀名是:*.bdf第三步:编译第四步:仿真第五步:引脚分配第六步:器件编程具体见操作过程文本输入设计例2:用QuartusII 文本输入设计方式设

7、计半加器.第一步:创建一个工程(1)启动QuartusII开发软件(2)选择File/New Project Wizard,建立一个文件夹hadder2,工程名为hadder2,顶层设计实体名为hadder2,之后照提示进行操作,直至工程创建完成。文本输入法第2步第二步:编辑设计文件(1)点击File-New,在弹出的对话框里选VHDL File,生成一个新的VHDL文件(2)程序输入(3)保存设计文件VHDL文件的后缀名是:文件的后缀名是:*.vhdlibrary ieee;use ieee.std_logic_1164.all;entity hadder2 isport(A,B:in st

8、d_logic;S,Co:out std_logic);end adder2;architecture a1 of hadder2 isbeginS=A xor B;CoNetlist Viewers-RTL Viewer,就可查看RTL图形文件。文本输入法第4步第四步:仿真(1)建立波形文件,方法是:点击File-New-Other Files-Vector Waveform File。(2)加入节点,方法是:点击Edit-Insert Node or Bus-Node Finder,在弹出的对话框里的Filter下拉选择Pins:all,点按钮List,再选择你想操作的节点加入右边的框内,

9、之后点击OK。(3)编辑输入波形。编辑输入波形(1)设置波形的时间区域,点击Edit-End time。(2)设置时间间隔,点击Edit-Grid Size(3)利用波形输入工具,编辑激励波形。(4)保存波形文件,波形文件的后缀名是:*.vmf功能仿真(1)设置仿真为功能仿真,点击Assignments-Settings-Simulator Settings,在Simulation mode中选Functional,在Simulation input中选上一步中建好的波形文件后,点击OK。(2)产生功能仿真网表文件,点击Processing-Generate Functional Simulation Netlist,生成网表文件(3)仿真,点击Processing-Start Simulation,进行仿真。观察仿真后生成的仿真报告,若有错误,修改VHDL程序,照上述操作继续直到成功。练习题1试用原理图设计方法,用2片74138译码器构成4线-16线译码器电路,并仿真验证设计结果。练习题2用原理图方法设计一个2线-4线译码器,并仿真验证设计结果。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 小学资料

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com