数电-09-10-半导体存储器和可编程器件..优秀PPT.ppt

上传人:1398****507 文档编号:56514886 上传时间:2022-11-02 格式:PPT 页数:55 大小:2.44MB
返回 下载 相关 举报
数电-09-10-半导体存储器和可编程器件..优秀PPT.ppt_第1页
第1页 / 共55页
数电-09-10-半导体存储器和可编程器件..优秀PPT.ppt_第2页
第2页 / 共55页
点击查看更多>>
资源描述

《数电-09-10-半导体存储器和可编程器件..优秀PPT.ppt》由会员分享,可在线阅读,更多相关《数电-09-10-半导体存储器和可编程器件..优秀PPT.ppt(55页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、9-10 半导体存储器和可编程逻辑器件半导体存储器和可编程逻辑器件(P281315)了解半导体存储器和可编程逻辑器件了解半导体存储器和可编程逻辑器件的结构、功能和特点。的结构、功能和特点。基本要求基本要求1几个基本概念:几个基本概念:地址:地址:每个字的编号。每个字的编号。字数字数=2n(n为存储器外部地址线的线数)为存储器外部地址线的线数)概述概述一、存储器一、存储器 存放大量二值信息(或二值数据)的器件。是存放大量二值信息(或二值数据)的器件。是计算机及其它数字系统中不行或缺的重要组成部计算机及其它数字系统中不行或缺的重要组成部分,属于大规模集成电路。分,属于大规模集成电路。字:字:表示一

2、个信息的多位二进制码;表示一个信息的多位二进制码;字长:字长:字的位数;字的位数;字数:字数:字的总量。字的总量。21)存储容量存储容量(M):存储二值信息的总量。:存储二值信息的总量。字数字数 位数;位数;性能指标性能指标例如:例如:256 4bit=1024=1k 存储容量为存储容量为1k 210K1M;210M1G等。等。2)存取时间存取时间存储器操作存储器操作(R/W)的速度的速度3二、存储器的分类:二、存储器的分类:1)磁介质类磁介质类 软磁盘、硬盘、磁带软磁盘、硬盘、磁带2)光介质类光介质类 CD、DVD3)半导体介质类半导体介质类 1、按材料分类、按材料分类 1)双极型双极型:2

3、)MOS型型:具有功耗低、集成度高的优点具有功耗低、集成度高的优点2、按制造工艺分类、按制造工艺分类43、按存、取功能分类、按存、取功能分类1)只读存储器只读存储器(Read-Only Memory,简称,简称ROM):正常工作时,内容只能读出,不能随时写入。正常工作时,内容只能读出,不能随时写入。常用于存放系统程序、数据表、字符代码等不易常用于存放系统程序、数据表、字符代码等不易变更的数据。变更的数据。2)随机存取存储器(读写存储器)随机存取存储器(读写存储器)Random Access Memory,简称,简称RAM 正常工作时可随时读出或写入,掉电后,数据正常工作时可随时读出或写入,掉电

4、后,数据全部丢失。全部丢失。59.2 随机存取存储器随机存取存储器RAM(P292)(读写存储器)(读写存储器)RAM:在工作过程中,既可随时从存储器的随意单:在工作过程中,既可随时从存储器的随意单元读出信息,又可以随时把外界信息写入随元读出信息,又可以随时把外界信息写入随意单元。意单元。特点:运用敏捷、便利。但具有易失性,即:掉电后,特点:运用敏捷、便利。但具有易失性,即:掉电后,数据就消逝数据就消逝(也有非易失性的也有非易失性的RAM,事实上类似于,事实上类似于ROM)。6SRAM(静态):存取速度快(静态):存取速度快DRAM(动态):结构简洁、集成度高(动态):结构简洁、集成度高NVR

5、AM(非易失性)(非易失性)RAM按存储单元工作原理不同分为按存储单元工作原理不同分为RAM 按所用器件可分为按所用器件可分为 双极型双极型 MOS型型分类:分类:71、RAM存储器的基本结构存储器的基本结构A0Ai行行地地址址译译码码器器.列地址译码器列地址译码器Ai+1An-1存储矩阵存储矩阵读读写写控控制制电电路路CSR/WI/O地址输入地址输入控制输入控制输入数据输入数据输入/输出输出三类信号线:地址线、数据线和限制线三类信号线:地址线、数据线和限制线由存储矩阵、地址译码器、输入由存储矩阵、地址译码器、输入/输出限制电路组成。输出限制电路组成。8 存储单元是存储器的最基本存储细胞,能存

6、放一位二值存储单元是存储器的最基本存储细胞,能存放一位二值数据。由于存储器的容量巨大,一般都把存储单元排列成数据。由于存储器的容量巨大,一般都把存储单元排列成矩阵形式。接受双译码(行、列译码),用两条地址线来矩阵形式。接受双译码(行、列译码),用两条地址线来共同选择存储单元。共同选择存储单元。A0A1A2A3CSX0CSX1CSX15行行地地址址译译码码器器列地址译码器列地址译码器A4A5A6A7CSY0CSY1CSY15A0A1A2A3A4A5A6A7CS0CS1CS255地地址址译译码码器器存存储储器器阵阵列列01255011516173232241255256根选择线根选择线16根行选择

7、线根行选择线16根列选择线根列选择线双译码方式双译码方式八位地址线八位地址线单译码方式单译码方式92、RAM的存储单元的存储单元六管六管NMOS存储单元存储单元T1与与T3、T2与与T4各构成各构成一个一个NMOS反相器;反相器;两个反相器交叉耦合,两个反相器交叉耦合,组成基本组成基本SR锁存器锁存器T5、T6:本单元限制门,:本单元限制门,由行选择线由行选择线Xi限制。限制。T7、T8:一列存储单:一列存储单元公用的限制门,元公用的限制门,由列选择线由列选择线Yj限制。限制。位位线线BYj(列选择线)(列选择线)Xi(行选择线)(行选择线)T3T4T2T1T5T6T8T7DD数数据据线线位位

8、线线B数数据据线线存储存储单元单元DDD、D:存储的一位二值:存储的一位二值数据。数据。(1)静态静态RAM存储单元存储单元(SRAM)10 明显,只有明显,只有X,Y选择线都是高电平,内部输入、输出才选择线都是高电平,内部输入、输出才和外部数据线连接,也就是该存储单元被选中。和外部数据线连接,也就是该存储单元被选中。DD 当当Xi=1时,时,T5、T6导通,导通,存储单元与位线接通;当存储单元与位线接通;当Xi=0时,时,T5、T6截止,存截止,存储单元与位线隔离。储单元与位线隔离。位位线线BYj(列选择线)(列选择线)Xi(行选择线)(行选择线)T3T4T2T1T5T6T8T7DD数数据据

9、线线位位线线B数数据据线线 当当Yj=1时,时,T7、T8导通,导通,位线与数据线接通;位线与数据线接通;当当Yj=0时,时,T7、T8截止,截止,位线与数据线隔离。位线与数据线隔离。11工作原理:工作原理:11 1、利用锁存器或触发器保存数据,所以数据是非破、利用锁存器或触发器保存数据,所以数据是非破坏性读出,坏性读出,一次写入,可以反复读出,一次写入,可以反复读出,对存储的数据对存储的数据没有反作用。没有反作用。3、静态存储单元、静态存储单元功耗高,体积大,集成度低。功耗高,体积大,集成度低。静态存储单元的特点:静态存储单元的特点:2、进行读或写操作,由另外的输出、进行读或写操作,由另外的

10、输出/输入电路限制。输入电路限制。大容量存储器一般都接受动态存储单元大容量存储器一般都接受动态存储单元12(2)动态存储单元动态存储单元(DRAM)读出过程中:电容读出过程中:电容C上上若充有足够电荷,其电压若充有足够电荷,其电压足够使足够使T2导通,输出线导通,输出线(读位线)(读位线)DO上就得到低上就得到低电平电平0,否则得到,否则得到1。写入过程就是给电容充写入过程就是给电容充电和放电的过程。电和放电的过程。存储原理依靠电容的电荷存储效应存储原理依靠电容的电荷存储效应D 留意:每次从留意:每次从DRAM中读中读出数据时,因漏电流的缘由,出数据时,因漏电流的缘由,都会使电容都会使电容C上

11、的电荷削减,上的电荷削减,所以所以DRAM的读出过程是破的读出过程是破坏性读出。坏性读出。13 因此,每次读出后必需刚好给电容再次充电,维护其内容。因此,每次读出后必需刚好给电容再次充电,维护其内容。此外,此外,C上电荷也不能长时间维持,所以还必需定时对电容充上电荷也不能长时间维持,所以还必需定时对电容充电,称为再生或刷新。电,称为再生或刷新。读取时:读取时:X,Y选中该单元,选中该单元,T1,T3,T4,T5都开通。都开通。DO上得上得到存储的数据到存储的数据;1DD0D 此时此时R=1,内部数据经写入刷新内部数据经写入刷新单元刷新电容单元刷新电容C,刷新电平是,刷新电平是D;1 假如假如D

12、=0;C应当充溢电,应当充溢电,刷新电平为刷新电平为1,给电容充电;,给电容充电;假如假如D=1;C应当不充电,应当不充电,刷新电平为刷新电平为0,电容放电。,电容放电。D14 X,Y选中该单元,限制管开通。数据从选中该单元,限制管开通。数据从DI输入,经写入刷输入,经写入刷新限制电路,对电容充、放电。新限制电路,对电容充、放电。0000DDD 经过写入刷新控制电路,经过写入刷新控制电路,对电容充放电的电平是对电容充放电的电平是D 写入数据时:写入数据时:R/W=0若若D=0 D=1则对电容充电;则对电容充电;若若D=1 D=0则对电容放电。则对电容放电。15 只选通行选择线只选通行选择线X,

13、并令并令R/W=1;定时刷新定时刷新:与读出数据时数据再生与读出数据时数据再生相同,数据经写入刷新限相同,数据经写入刷新限制单元,依据原来存储的制单元,依据原来存储的数据自己刷新。数据自己刷新。留意:因为此时留意:因为此时Y不通,不通,DI,DO都断开,数据不被读出。都断开,数据不被读出。则,电容则,电容C上的数据经上的数据经T2、T3到达到达“读读”位线。位线。16(3)单管存储单元单管存储单元 0或或1数据存于电容数据存于电容C中,中,T为门控管,通为门控管,通过限制过限制T的导通与截止,可把数据从存储单的导通与截止,可把数据从存储单元送至位线上或者将位线上的数据写入存元送至位线上或者将位

14、线上的数据写入存储单元。储单元。由于电容很小,而且电容是连接在门控管的源极上,由于电容很小,而且电容是连接在门控管的源极上,所以每次读取数据时,电容上的电荷消耗很多,电压下降所以每次读取数据时,电容上的电荷消耗很多,电压下降很大。很大。因此,读取数据时,要经过特地的读出放大器对信号因此,读取数据时,要经过特地的读出放大器对信号进行放大。同时,由于电容上的电荷削减,存储的数据被进行放大。同时,由于电容上的电荷削减,存储的数据被破坏,故每次读出后,必需刚好对读出单元刷新。破坏,故每次读出后,必需刚好对读出单元刷新。XiTC位位线线17 5位行地址码确定位行地址码确定32条行选择线;条行选择线;3位

15、列地址码确定位列地址码确定8条列选择条列选择线;每线;每4列存储单元连接在相同的列地址译码线上,组成一个字列。列存储单元连接在相同的列地址译码线上,组成一个字列。每行可存储每行可存储8个字,每个字列存储个字,每个字列存储32个字,共有个字,共有328=256个组合,个组合,总的存储容量就是总的存储容量就是256 4=1024个存储单元。个存储单元。每个由每个由X,Y共同选中的单元中实际包含了共同选中的单元中实际包含了4个个1位数据存储单位数据存储单元,表示一个元,表示一个4位数据。位数据。3、存储矩阵:、存储矩阵:由若干存储单元排列成矩阵形式。由若干存储单元排列成矩阵形式。2564 RAM存储

16、矩阵存储矩阵接受双接受双译码方式译码方式8位地址码位地址码189.1 只读存储器只读存储器(ROM)(P282)分类:分类:(1)按制造工艺分:按制造工艺分:二极管二极管ROM 双极型双极型ROM(三极管三极管)单极型单极型(MOS)只读存储器,工作时内容只能读出,不能随时写入,所只读存储器,工作时内容只能读出,不能随时写入,所以称为只读存储器。以称为只读存储器。(Read-Only Memory)(按存按存储储单元中器件划分单元中器件划分)19掩模掩模ROM(固定固定ROM)光可擦可编程光可擦可编程ROM(EPROM)可编程可编程ROM一次可编程一次可编程ROM(PROM)电可擦可编程电可擦

17、可编程ROM(E2PROM)快闪存储器快闪存储器(Flash Memory)E2PROM和和Flash则广泛应用于各种存储卡中:例则广泛应用于各种存储卡中:例如如IC卡、数码相机中的存储卡、移动存储卡、卡、数码相机中的存储卡、移动存储卡、USB卡(卡(U盘)、盘)、MP3播放器等。播放器等。(2)按存储内容写入方式分按存储内容写入方式分20存储矩阵存储矩阵 地地址址译译码码器器地地址址输输入入ROM的基本结构:的基本结构:数据输出数据输出控制信号控制信号输入输入输出控制电路输出控制电路输出限制电路输出限制电路 地址译码部分与地址译码部分与RAM基本相同;基本相同;存储单元矩阵和输入存储单元矩阵

18、和输入/输出限制电路由于存储机理输出限制电路由于存储机理不同,有较大区分。不同,有较大区分。21字线与位线的交点都是一个字线与位线的交点都是一个存储单元。交点处有二极管存储单元。交点处有二极管相当存相当存1 1,无二极管相当存,无二极管相当存0 0当当OE=1时输出为高阻状态时输出为高阻状态000101111101111010001101地地 址址A1A0D3D2D1D0内内 容容当当OE=0时时1、固定、固定ROM:二极管二极管ROM0 1 0 01 0 1 100222、可编程、可编程ROM 接受熔断丝结构,接受熔断丝结构,出厂时,熔丝是连通的,出厂时,熔丝是连通的,即存储单元为即存储单元

19、为1,如欲,如欲使某些单元改写为使某些单元改写为0,只要通过编程,给这些只要通过编程,给这些单元通以足够大的电流单元通以足够大的电流将熔丝烧断即可。将熔丝烧断即可。熔丝烧断后不能复熔丝烧断后不能复原,因此,原,因此,PROM只能只能改写一次。改写一次。44存储器,存储器,两位地址码两位地址码A1A0给出给出4根地址线根地址线Y3 Y0;每根地址线上,有每根地址线上,有4根位线根位线D3 D0。位线与地址线是否相连,。位线与地址线是否相连,取决于之间的熔断丝是否相通。取决于之间的熔断丝是否相通。位线位线(1)二极管二极管PROM23例如:例如:A1A0=10,Y2=1,Y0、Y1、Y3=0由于位

20、线与地址线用由于位线与地址线用二极管连接,二极管连接,所以所以Y0,Y1,Y3不影响不影响D的状态。的状态。0 0 1 0 0 10 1 0 1 1 11 0 1 1 1 01 1 1 0 0 0A1A0D3 D2D1D0D3 D2D1D0=11101 1 1 024(2)EPROM(光擦除可编程光擦除可编程ROM)浮栅是与四周绝缘的一块导体。浮栅是与四周绝缘的一块导体。限制栅上加正电压,限制栅上加正电压,P型衬型衬底上部感生出电子,底上部感生出电子,NMOS管管导通。导通。假如浮栅带负电,则在衬假如浮栅带负电,则在衬底上部感生出正电荷,阻碍底上部感生出正电荷,阻碍限制栅开启限制栅开启MOS管

21、。开启须管。开启须要更高的电压。要更高的电压。限制栅加相同电压时,浮限制栅加相同电压时,浮栅带电与否,表现为栅带电与否,表现为MOS管管的截止或导通,即存储二值的截止或导通,即存储二值逻辑逻辑1或或0。SIMOS管管25写入数据前,浮栅不带电,写入数据前,浮栅不带电,要使浮栅带负电荷,必需在要使浮栅带负电荷,必需在栅极和漏极加上高电压。栅极和漏极加上高电压。高电压使漏极高电压使漏极PN结反相击穿,结反相击穿,产生大量高能电子,在栅极高产生大量高能电子,在栅极高电压的吸引下,电子穿透栅极电压的吸引下,电子穿透栅极绝缘层,部分积累在浮栅上使绝缘层,部分积累在浮栅上使浮栅带负电。当移去外加电压浮栅带

22、负电。当移去外加电压后,浮栅上无放电回路,故能后,浮栅上无放电回路,故能长期保存。长期保存。只有用紫外线照射时,浮栅只有用紫外线照射时,浮栅上的电子形成光电流释放。上的电子形成光电流释放。为便于擦除,芯片封装上装有为便于擦除,芯片封装上装有透亮的石英盖板。透亮的石英盖板。EPROM为一为一次全部擦除,数据写入须要通次全部擦除,数据写入须要通用或专用的编程器。用或专用的编程器。26(3)E2PROM E2PROM也是接受浮栅技术。浮栅也是接受浮栅技术。浮栅与漏极与漏极N+区延长区有一点交迭,并且交区延长区有一点交迭,并且交迭处的绝缘层厚度很小。迭处的绝缘层厚度很小。限制栅上加高电压,漏极接地,即

23、限制栅上加高电压,漏极接地,即可对浮栅充电。在高电压作用下,电子可对浮栅充电。在高电压作用下,电子穿透绝缘层积累在浮栅上,使浮栅带负穿透绝缘层积累在浮栅上,使浮栅带负电荷电荷“隧道效应隧道效应”限制栅接地,漏极接高电压,则产生限制栅接地,漏极接高电压,则产生与上述相反的过程,即可对浮栅放电。与上述相反的过程,即可对浮栅放电。电擦除!电擦除!E2PROM擦除的过程就是改写过程,以擦除的过程就是改写过程,以字为单位进行擦写的。字为单位进行擦写的。E2PROM具有具有ROM的非易失性,又具备类似的非易失性,又具备类似RAM的功的功能,可以随时改写。一般芯片内部带有能,可以随时改写。一般芯片内部带有升

24、压电路,可以干脆读写升压电路,可以干脆读写E2PROM。27(4)快闪存储器快闪存储器FLASH ROM 结合结合EPROM结构简洁、编程牢靠的优点和结构简洁、编程牢靠的优点和E2PROM擦擦除快捷的特性。集成度高,牢靠性好。除快捷的特性。集成度高,牢靠性好。较大较大绝缘层绝缘层更薄更薄特点:特点:a.通过在源极上加正压,使浮栅放电,擦除写入的数据。通过在源极上加正压,使浮栅放电,擦除写入的数据。b.因为个存储单元因为个存储单元MOS管的源极是连在一起的,所以擦除管的源极是连在一起的,所以擦除是整片或分块擦除。是整片或分块擦除。c.擦除速度很快,一般整片擦除只需几秒钟。擦除速度很快,一般整片擦

25、除只需几秒钟。28相同点:相同点:1)均为电擦除,不须要特地的工具写入和擦除。均为电擦除,不须要特地的工具写入和擦除。2)内部须要有升压电路,擦除时间短内部须要有升压电路,擦除时间短(ms级级);不同点:不同点:E2PROM是对单个存储单元擦除;是对单个存储单元擦除;FLASH ROM由于源极都并联,所以擦除时为整片擦除,由于源极都并联,所以擦除时为整片擦除,或分块擦除,擦除速度更快。或分块擦除,擦除速度更快。E2PROM和和FLASH ROM的比较:的比较:29EPROM集成电路集成电路 AT27C010,128K8位位ROM 读操作时的工作电压读操作时的工作电压5V编程操作时的工作电压编程

26、操作时的工作电压13V输出访能输出访能信号信号片选片选信号信号编程选通编程选通信号信号限制信号均为低电平有效!限制信号均为低电平有效!30 工作模式工作模式A16 A0VPPD7 D0读读00XAiX数据输出数据输出输出无效输出无效X1XXX高阻高阻等待等待1XXAiX高阻高阻快速编程快速编程010AiVPP数据输入数据输入编程校验编程校验001AiVPP数据输出数据输出表表7.1.3 工作模式工作模式 片选片选信号信号输出访能输出访能信号信号编程选通编程选通信号信号 说明:说明:EPROM的数据写入均由专用或通用编的数据写入均由专用或通用编程器完成。程器完成。31ROM的读操作与时序图的读操

27、作与时序图(2)加入有效的片选信号)加入有效的片选信号(3)使输出使能信号)使输出使能信号 有效,经过一定延时后,有效数据有效,经过一定延时后,有效数据出现在数据线上;出现在数据线上;(4)让片选信号)让片选信号 或输出使能信号或输出使能信号 无效,经过一定延无效,经过一定延时后数据线呈高阻态,本次读出结束。时后数据线呈高阻态,本次读出结束。(1)欲读取单元的地址加到存储器的地址输入端;)欲读取单元的地址加到存储器的地址输入端;329.3 存储器容量的扩展存储器容量的扩展(P296-299)n当一片当一片RAM(或或ROM)不能满足存储容量位不能满足存储容量位数数(或字数或字数)要求时,须要多

28、片存储芯片进要求时,须要多片存储芯片进行扩展,形成一个容量更大、字数位数更行扩展,形成一个容量更大、字数位数更多的存储器。多的存储器。n扩展方法依据须要有位扩展、字扩展和字扩展方法依据须要有位扩展、字扩展和字位同时扩展位同时扩展3种。种。33 把各片芯片并联。即将把各片芯片并联。即将RAM的地址线、读的地址线、读/写限制线和片写限制线和片选信号对应地并联在一起。选信号对应地并联在一起。每个地址对应多个芯片内部的相同位置的存储单元,扩每个地址对应多个芯片内部的相同位置的存储单元,扩展了每个地址的位数。展了每个地址的位数。图图7.2.101.位数(字长)扩展位数(字长)扩展:342.字扩展方式(地

29、址扩展):字扩展方式(地址扩展):把低位地址并联入各个把低位地址并联入各个芯片,高位地址经译码作为各个芯片的片选信号。芯片,高位地址经译码作为各个芯片的片选信号。同理,若高位地址是同理,若高位地址是01,只有芯片,只有芯片2被选中,其被选中,其上的上的8k个存储单元个存储单元与外部与外部数据线相连。数据线相连。当高位地址线为当高位地址线为00时,时,Y0输出低电平,第一块输出低电平,第一块RAM芯片被选中,其芯片被选中,其8k个个存储单元与外部数据线相存储单元与外部数据线相连。连。例如:例如:将将4个个8K8位的位的RAM芯片扩展为芯片扩展为32K8位读存储器。外位读存储器。外部部15条地址线

30、,接入芯片内部条地址线,接入芯片内部13条,增加的两条地址线条,增加的两条地址线A14、A13经译码后作为片选信号。经译码后作为片选信号。2线线/4线线译码器译码器3510 可编程逻辑器件(不讲)可编程逻辑器件(不讲)概述概述:一、数字集成电路的分类一、数字集成电路的分类(从逻辑功能特点上分从逻辑功能特点上分):1、通用型数字集成电路、通用型数字集成电路:各种中小规模数字集成电路各种中小规模数字集成电路特点:逻辑功能简洁,且固定不变。特点:逻辑功能简洁,且固定不变。从理论上讲,可以用其组成任何困难的数字系统,但电从理论上讲,可以用其组成任何困难的数字系统,但电路体积大、重量大、功耗大、牢靠性差

31、。路体积大、重量大、功耗大、牢靠性差。2、专用型数字集成电路、专用型数字集成电路:为特地用途设计的大规模数字集为特地用途设计的大规模数字集成电路成电路(Application Specific Integrated Circuit,简称,简称ASIC)特点:体积小、重量轻、功耗小、牢靠性好。特点:体积小、重量轻、功耗小、牢靠性好。缺点:用量不大的状况下,成本高,设计、制造周期长。缺点:用量不大的状况下,成本高,设计、制造周期长。冲突!冲突!如何解决?如何解决?363、可编程逻辑器件、可编程逻辑器件(Programmable Logic Device,简称,简称PLD)特点:芯片本身作为通用器件

32、生产,但其逻辑功能是特点:芯片本身作为通用器件生产,但其逻辑功能是由用户通过对器件编程来设定的。由用户通过对器件编程来设定的。由于由于PLD 集成度很高,足以满足一般数字系统设计的集成度很高,足以满足一般数字系统设计的须要,设计人员只要自行编程,把一个数字系统须要,设计人员只要自行编程,把一个数字系统“集成集成”在一片在一片PLD 上,而不必请芯片制造厂商设计和制作专上,而不必请芯片制造厂商设计和制作专用芯片。用芯片。二、二、PLD开发系统:包括硬件和软件两部分开发系统:包括硬件和软件两部分 开发系统软件:指专用的编程语言和相应的汇编程序开发系统软件:指专用的编程语言和相应的汇编程序或编译程序

33、。分为汇编型、编译型和原理图收集型。或编译程序。分为汇编型、编译型和原理图收集型。80年头后,功能更强、效率更高、兼容性更好的编译年头后,功能更强、效率更高、兼容性更好的编译型开发系统软件得到广泛应用,软件输入的源程序接受型开发系统软件得到广泛应用,软件输入的源程序接受专用的高级编程语言(硬件描述语言专用的高级编程语言(硬件描述语言VHDL)37 特殊是特殊是90年头后推出的在系统可编程器件年头后推出的在系统可编程器件(In-System Programmable PLD,简称,简称ISP-PLD),及与之配套的开发),及与之配套的开发系统软件,为用户供应了更为便利的设计手段。系统软件,为用户

34、供应了更为便利的设计手段。有自动化简和优化设计的功能,除了能自动完成设计有自动化简和优化设计的功能,除了能自动完成设计外,还有模拟仿真和自动测试的功能。外,还有模拟仿真和自动测试的功能。目前应用最多的目前应用最多的ISP器件是器件是FPGA和和CPLD,均称为,均称为高密度高密度ISP-PLD。生产厂家有。生产厂家有Lattice、Xilinx、Atmel公公司等。司等。其最大特点是编程时既不须要运用编程器,也不须要将其最大特点是编程时既不须要运用编程器,也不须要将芯片从电路板上取下,可以在系统内进行编程。而全部的芯片从电路板上取下,可以在系统内进行编程。而全部的开发系统软件都可以在开发系统软

35、件都可以在PC机上运行。机上运行。381、PLD的分类的分类PROMPLAPALGAL低密度可编程逻辑器件低密度可编程逻辑器件(LDPLD)EPLDCPLDFPGA高密度可编程逻辑器件高密度可编程逻辑器件(HDPLD)可编程逻辑器件可编程逻辑器件(PLD)按集成密度划分为按集成密度划分为三、可编程器件简介:三、可编程器件简介:391、简洁、简洁PLD(PAL,GAL)(1)结构框图结构框图与门与门阵列阵列或门或门阵列阵列乘积项乘积项和项和项PLD主体主体输入输入电路电路输入信号输入信号互补互补输入输入输出输出电路电路输出函数输出函数反馈输入信号反馈输入信号 可由或阵列干脆输出,构成组合输出;可

36、由或阵列干脆输出,构成组合输出;通过寄存器输出,构成时序方式输出。通过寄存器输出,构成时序方式输出。40(2)基本电路结构基本电路结构与门与门阵列阵列或门或门阵列阵列乘积项乘积项和项和项互补互补输入输入41与阵列、或阵列与阵列、或阵列均可编程均可编程(PLA)与阵列固定,或阵与阵列固定,或阵列可编程列可编程(PROM)与阵列可编程,或与阵列可编程,或阵列固定阵列固定(PAL和和GAL等等)(3)分类分类:三种与、或阵列三种与、或阵列按按PLD中的与、或阵列是否编程分中的与、或阵列是否编程分(4)编程连接技术编程连接技术:同同ROM的写入技术的写入技术42 与一般与一般PLD接受与接受与-或逻辑

37、阵列加上输出逻辑单元或逻辑阵列加上输出逻辑单元的结构形式不同,是由若干独立的可编程逻辑模块组成。的结构形式不同,是由若干独立的可编程逻辑模块组成。FPGA的基本结构框图:的基本结构框图:三种可编程单元:三种可编程单元:每个每个CLB都包含组合逻辑都包含组合逻辑电路和存储器电路和存储器(触发器触发器)2)可编程逻辑模块可编程逻辑模块CLB;1)输入输入/输出模块输出模块IOB;3)互连资源互连资源IR包括不同类型的金属线、包括不同类型的金属线、可编程的开关矩阵、可编程的开关矩阵、可编程的连接点。可编程的连接点。2、FPGA现场可编程门阵列现场可编程门阵列43接受静态存储器接受静态存储器静态存储器

38、的存储单元静态存储器的存储单元具有很强的抗干扰实力具有很强的抗干扰实力和很高的工作牢靠性。和很高的工作牢靠性。成本较低廉。成本较低廉。缺点:缺点:a.掉电后存储器上的数据不能保存,因此,每次通电时掉电后存储器上的数据不能保存,因此,每次通电时必需重新给存储器必需重新给存储器“装载装载”数据,装载过程是在其内部数据,装载过程是在其内部的一个时序电路的限制下自动进行的。而数据通常须要的一个时序电路的限制下自动进行的。而数据通常须要放在配备的一片放在配备的一片EPROM当中。当中。b.信号传输延迟时间不确定。在用若干个信号传输延迟时间不确定。在用若干个CLB组成困难组成困难数字系统时,由于每个信号传

39、输途径各异,使传输延迟时数字系统时,由于每个信号传输途径各异,使传输延迟时间不同,不仅给设计工作带来麻烦,也限制了器件的工作间不同,不仅给设计工作带来麻烦,也限制了器件的工作速度。速度。优点:优点:适用于组成规模不大的数字系统。适用于组成规模不大的数字系统。CMOS反相器反相器限制管限制管443、CPLD(Complex programmable logic Device)称为困难的可编程逻辑器件称为困难的可编程逻辑器件含更多乘积项、更多宏单元、更多的输入信号。含更多乘积项、更多宏单元、更多的输入信号。结构框图结构框图45包括:包括:通用逻辑模块通用逻辑模块GLB;输入输入/输出单元输出单元I

40、OC;可编程内部连线区可编程内部连线区RP;编程限制电路。编程限制电路。存储系统接受存储系统接受E2CMOS工艺制作,掉电时数据不工艺制作,掉电时数据不会丢失,克服了会丢失,克服了FPGA的的缺点。缺点。此外信号传输时间短,且此外信号传输时间短,且是可以预知的。是可以预知的。适用于构成规模较大的数字系统适用于构成规模较大的数字系统46CPLD编程简介编程简介编程过程(编程过程(Download或或Configure):将编程数据写入这):将编程数据写入这些单元的过程。些单元的过程。用户在开用户在开发软件中发软件中输入设计输入设计及要求。及要求。检查、分析检查、分析和优化。完和优化。完成对电路的

41、成对电路的划分、布局划分、布局和布线和布线编程的实现:由可编程器件的开发软件自动生成的。编程的实现:由可编程器件的开发软件自动生成的。生成生成编程编程数据数据文件文件写入写入CPLD47 计算机依据用户编写的源程序运行开发系统软件,计算机依据用户编写的源程序运行开发系统软件,产生相应的编程数据和编程吩咐,通过五线编程电产生相应的编程数据和编程吩咐,通过五线编程电缆接口与缆接口与CPLDCPLD连接。连接。将电缆接到计算机的并行口,将电缆接到计算机的并行口,通过编程软件发出编程吩咐,将通过编程软件发出编程吩咐,将编程数据文件(编程数据文件(*JED*JED)中的数据)中的数据转换成串行数据送入芯

42、片。转换成串行数据送入芯片。编程条件编程条件(1)微机;微机;(2)CPLD编程软件;编程软件;(3)专用编程电缆。专用编程电缆。48 值得指出的是:由于微电子技术的发展、可编程逻值得指出的是:由于微电子技术的发展、可编程逻辑器件和相应的编程语言和编程软件的出现,不仅变辑器件和相应的编程语言和编程软件的出现,不仅变更了电子设计的方法和手段,而且,使电子设计的理更了电子设计的方法和手段,而且,使电子设计的理念发生了质的飞跃。念发生了质的飞跃。1、硬件设计软件化;、硬件设计软件化;2、“自顶向下自顶向下”的设计方法。的设计方法。数字系统:由若干数字电路和逻辑部件构成的、按数字系统:由若干数字电路和

43、逻辑部件构成的、按确定依次处理和传输数字信号的设备。确定依次处理和传输数字信号的设备。有无限制单元是区分数字系统和功能部件的标记。有无限制单元是区分数字系统和功能部件的标记。首先明确一下:什么是数字系统?首先明确一下:什么是数字系统?49 (1)将数字系统从结构上划分为数据处理单元和限将数字系统从结构上划分为数据处理单元和限制单元两部分;制单元两部分;控制控制单元单元数据处理数据处理单元单元外部外部输入输入数据数据输入输入数据数据输出输出控制信息控制信息数字系统框图数字系统框图 “自顶向下自顶向下”的设计方法:的设计方法:针对数字系统层次化的针对数字系统层次化的特点,将系统的设计分层次、分模块

44、进行。特点,将系统的设计分层次、分模块进行。50 a.接受限制单元发来的限制信号,对输入的数据进接受限制单元发来的限制信号,对输入的数据进行算术运算、逻辑运算、移位操作等处理;行算术运算、逻辑运算、移位操作等处理;b.输出数据;输出数据;c.将处理过程中产生的状态信息反馈到限制单元。将处理过程中产生的状态信息反馈到限制单元。a.依据外部输入信号及数据处理单元供应的状态信依据外部输入信号及数据处理单元供应的状态信息确定下一步要完成的操作;息确定下一步要完成的操作;b.向数据处理单元发出限制信号,以限制其完成该向数据处理单元发出限制信号,以限制其完成该操作。操作。数据处理单元:数据处理单元:限制单

45、元:限制单元:51 (2)若数据处理单元和限制单元仍比较困难,可以若数据处理单元和限制单元仍比较困难,可以在其内部多重地进行逻辑划分,分解成几个子模块在其内部多重地进行逻辑划分,分解成几个子模块进行逻辑设计;进行逻辑设计;(3)对每个子模块给出实现系统的硬件和软件描述,对每个子模块给出实现系统的硬件和软件描述,最终进行系统综合。最终进行系统综合。一般步骤:一般步骤:明确所要设计系统的功能,进行逻辑抽象;明确所要设计系统的功能,进行逻辑抽象;确定实现系统功能的算法,画出系统方框图;确定实现系统功能的算法,画出系统方框图;设计数据处理单元;设计数据处理单元;设计限制单元;设计限制单元;52 指以计

46、算机为工作平台,借助于融合了应用电子指以计算机为工作平台,借助于融合了应用电子技术、计算机技术、智能化技术最新成果而研制成技术、计算机技术、智能化技术最新成果而研制成的电子的电子CAD通用软件包,进行通用软件包,进行IC设计、电子电路设设计、电子电路设计、计、PCB设计等设计等 EDA技术(电子设计自动化)技术(电子设计自动化)EDA技术的三个阶段:技术的三个阶段:(1)CAD阶段:用计算机协助进行阶段:用计算机协助进行IC印刷版图绘制印刷版图绘制和和PCB布局布线,取代手工操作。布局布线,取代手工操作。(2)CAE阶段:阶段:除了进行图形绘制外,增加了电路除了进行图形绘制外,增加了电路功能设

47、计和结构设计,并且,通过电气连接网络表将功能设计和结构设计,并且,通过电气连接网络表将两者结合在一起,实现工程设计。两者结合在一起,实现工程设计。53 (3)ESDA阶段:阶段:真正意义上的电子系统设计自动真正意义上的电子系统设计自动化。是当今电子设计技术的最新发展方向。化。是当今电子设计技术的最新发展方向。设计人员依据设计人员依据“自顶向下自顶向下”的设计方法,对整个的设计方法,对整个系统进行方案设计和功能划分,将关键电路用一片系统进行方案设计和功能划分,将关键电路用一片或几片专用集成电路(或几片专用集成电路(ASIC)实现,然后接受硬件)实现,然后接受硬件描述语言(描述语言(VHDL)完成

48、系统行为级设计,最终通)完成系统行为级设计,最终通过综合器和适配生成器生成最终的目标器件。过综合器和适配生成器生成最终的目标器件。其基本特征是:其基本特征是:54 目前,目前,EDA技术的探讨已经涉及电子设计的全过技术的探讨已经涉及电子设计的全过程,有系统级、电路级和物理级各个层次的设计。程,有系统级、电路级和物理级各个层次的设计。涉及的电子系统从低频、高频到微波,从线性到非涉及的电子系统从低频、高频到微波,从线性到非线性,甚至从数字到模拟。线性,甚至从数字到模拟。有人形容:有人形容:EDA技术是电子产业的心脏起搏器,技术是电子产业的心脏起搏器,是电子产业飞速发展的原动力。是电子产业飞速发展的原动力。end55

展开阅读全文
相关资源
相关搜索

当前位置:首页 > pptx模板 > 商业计划书

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com