基于Libero的数字逻辑设计仿真及验证实验实验报告.doc

上传人:飞****2 文档编号:56224271 上传时间:2022-11-01 格式:DOC 页数:17 大小:336.50KB
返回 下载 相关 举报
基于Libero的数字逻辑设计仿真及验证实验实验报告.doc_第1页
第1页 / 共17页
基于Libero的数字逻辑设计仿真及验证实验实验报告.doc_第2页
第2页 / 共17页
点击查看更多>>
资源描述

《基于Libero的数字逻辑设计仿真及验证实验实验报告.doc》由会员分享,可在线阅读,更多相关《基于Libero的数字逻辑设计仿真及验证实验实验报告.doc(17页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、_计算机_学院_专业_班_组、学号_姓名_协作者_ 教师评定_实验题目_基于Libero的数字逻辑设计仿真及验证实验_1、 熟悉EDA工具的使用;仿真基本门电路。2、 仿真组合逻辑电路。3、 仿真时序逻辑电路。4、 基本门电路、组合电路和时序电路的程序烧录及验证。5、 数字逻辑综合设计仿真及验证。实验报告1、基本门电路一、实验目的1、了解基于Verilog的基本门电路的设计及其验证。2、熟悉利用EDA工具进行设计及仿真的流程。3、学习针对实际门电路芯片74HC00、74HC02、74HC04、74HC08、74HC32、74HC86进行VerilogHDL设计的方法。二、实验环境Libero仿

2、真软件。三、实验内容1、掌握Libero软件的使用方法。2、进行针对74系列基本门电路的设计,并完成相应的仿真实验。3、参考教材中相应章节的设计代码、测试平台代码(可自行编程),完成74HC00、74HC02、74HC04、74HC08、74HC32、74HC86相应的设计、综合及仿真。4、提交针对74HC00、74HC02、74HC04、74HC08、74HC32、74HC86(任选一个)的综合结果,以及相应的仿真结果。四、实验结果和数据处理1、所有模块及测试平台代码清单/74HC00代码-与非/74HC00测试平台代码/74HC02代码-或非/74HC02测试平台代码/74HC04代码-非

3、/74HC04测试平台代码/74HC08代码-与/74HC08测试平台代码/74HC32代码-或/74HC32测试平台代码/74HC86代码-异或/74HC86测试平台代码2、第一次仿真结果(任选一个门,请注明,插入截图,下同)。(将波形窗口背景设为白色,调整窗口至合适大小,使波形能完整显示,对窗口截图。后面实验中的仿真使用相同方法处理)3、综合结果(截图)。(将相关窗口调至合适大小,使RTL图能完整显示,对窗口截图,后面实验中的综合使用相同方法处理)4、第二次仿真结果(综合后)(截图)。回答输出信号是否有延迟,延迟时间约为多少?5、第三次仿真结果(布局布线后)(截图)。回答输出信号是否有延迟

4、,延迟时间约为多少?分析是否有出现竞争冒险。2、组合逻辑电路一、实验目的1、了解基于Verilog的组合逻辑电路的设计及其验证。2、熟悉利用EDA工具进行设计及仿真的流程。3、学习针对实际组合逻辑电路芯片74HC148、74HC138、74HC153、74HC85、74HC283、74HC4511进行VerilogHDL设计的方法。二、实验环境Libero仿真软件。三、实验内容1、掌握Libero软件的使用方法。2、进行针对74系列基本组合逻辑电路的设计,并完成相应的仿真实验。3、参考教材中相应章节的设计代码、测试平台代码(可自行编程),完成74HC148、74HC138、74HC153、74

5、HC85、74HC283、74HC4511相应的设计、综合及仿真。4、74HC85测试平台的测试数据要求:进行比较的A、B两数,分别为本人学号的末两位,如“89”,则A数为“1000”,B数为“1001”。若两数相等,需考虑级联输入(级联输入的各种取值情况均需包括);若两数不等,则需增加一对取值情况,验证A、B相等时的比较结果。5、74HC4511设计成扩展型的,即能显示数字09、字母af。6、提交针对74HC148、74HC138、74HC153、74HC85、74HC283、74HC4511(任选一个)的综合结果,以及相应的仿真结果。四、实验结果和数据处理1、所有模块及测试平台代码清单/7

6、4HC148代码/74HC148测试平台代码/74HC138代码/74HC138测试平台代码/74HC153代码/74HC153测试平台代码/74HC85代码/74HC85测试平台代码/74HC283代码/74HC283测试平台代码/74HC4511代码/74HC4511测试平台代码2、第一次仿真结果(任选一个模块,请注明)3、综合结果4、第二次仿真结果(综合后)。回答输出信号是否有延迟,延迟时间约为多少?5、第三次仿真结果(布局布线后)。回答输出信号是否有延迟,延迟时间约为多少?分析是否有出现竞争冒险。3、时序逻辑电路一、实验目的1、了解基于Verilog的时序逻辑电路的设计及其验证。2、熟

7、悉利用EDA工具进行设计及仿真的流程。3、学习针对实际时序逻辑电路芯片74HC74、74HC112、74HC194、74HC161进行VerilogHDL设计的方法。二、实验环境Libero仿真软件。三、实验内容1、熟练掌握Libero软件的使用方法。2、进行针对74系列时序逻辑电路的设计,并完成相应的仿真实验。3、参考教材中相应章节的设计代码、测试平台代码(可自行编程),完成74HC74、74HC112、74HC161、74HC194相应的设计、综合及仿真。4、提交针对74HC74、74HC112、74HC161、74HC194(任选一个)的综合结果,以及相应的仿真结果。四、实验结果和数据处

8、理1、所有模块及测试平台代码清单/74HC74代码/74HC74测试平台代码/74HC112代码/74HC112测试平台代码/74HC161代码/74HC161测试平台代码/74HC194代码/74HC194测试平台代码2、第一次仿真结果(任选一个模块,请注明)3、综合结果4、第二次仿真结果(综合后)5、第三次仿真结果(布局布线后)4、基本门电路、组合电路和时序电路的程序烧录及验证一、实验目的1、熟悉利用EDA工具进行设计及仿真的流程。2、熟悉实验箱的使用和程序下载(烧录)及测试的方法。二、实验环境及仪器1、Libero仿真软件。2、DIGILOGIC-2011数字逻辑及系统实验箱。3、Act

9、el Proasic3 A3P030 FPGA核心板及Flash Pro4烧录器。三、实验内容1、新建一个工程文件,将前面已经设计好的74HC00、74HC02、74HC04、74HC08、74HC32、74HC86实例文件导入,在SmartDesign窗口分别添加这6个模块,完成相应连线。按实验指导书P175的附录B.3中所列引脚对应表来分配引脚,最后通过烧录器烧录至FPGA核心板上。按分配的引脚连线,实测相应功能并记录结果。详细步骤请参考教材及实验指导书的相关内容。2、新建一个工程文件,将前面已经设计好的74HC148、74HC138、74HC153、74HC85、74HC283实例文件导

10、入,在SmartDesign窗口分别添加这5个模块,完成相应连线。按实验指导书P176的附录B.4中所列引脚对应表来分配引脚,最后通过烧录器烧录至FPGA核心板上。按分配的引脚连线,实测相应功能并记录结果。详细步骤请参考教材及实验指导书的相关内容。3、新建一个工程文件,将前面已经设计好的74HC4511实例文件导入,在SmartDesign窗口添加这1个模块,完成相应连线。按实验指导书P173的附录B.2中所列引脚对应表来分配引脚,最后通过烧录器烧录至FPGA核心板上。按分配的引脚连线,实测相应功能并记录结果。详细步骤请参考教材及实验指导书的相关内容。4、新建一个工程文件,将前面已经设计好的7

11、4HC74、74HC112、74HC194、74HC161实例文件导入,在SmartDesign窗口分别添加这4个模块,完成相应连线。按实验指导书P178的附录B.5中所列引脚对应表来分配引脚,最后通过烧录器烧录至FPGA核心板上。按分配的引脚连线,实测相应功能并记录结果。详细步骤请参考教材及实验指导书的相关内容。四、实验结果和数据处理表4-1 74HC00输入输出状态输入端输出端YABLED逻辑状态00011011表4-2 74HC02输入输出状态输入端输出端YABLED逻辑状态00011011表4-3 74HC04输入输出状态输入端输出端YALED逻辑状态01表4-4 74HC08输入输出

12、状态输入端输出端YABLED逻辑状态00011011表4-5 74HC32输入输出状态输入端输出端YABLED逻辑状态00011011表4-6 74HC86输入输出状态输入端输出端YABLED逻辑状态00011011表4-7 74LS148输入输出状态控制十进制数字信号输入二进制数码输出状态输出I0I1I2I3I4I5I6I7A2A1A0GSEO1XXXXXXXX0111111110XXXXXXX00XXXXXX010XXXXX0110XXXX01110XXX011110XX0111110X0111111001111111注:X为任意状态表4-8 74HC138输入输出状态使能输入数据输入译码

13、输出E3A2A1A01XXXXXX1XXXXXX0XXX001000001001001010001011001100001101001110001111注:X为任意状态表4-9 74HC153输入输出状态选择输入数据输入输出使能输入输出S1S01I01I11I21I31YXXXXXX1000XXX0001XXX010X0XX010X1XX001XX0X001XX1X011XXX0011XXX10注:X为任意状态表4-10 74HC85输入输出状态比较输入级联输入输出A3A2A1A0B3B2B0B1IABIA=BIABA=BAB1XXX0XXXXXX0XXX1XXXXXX11XX10XXXXX0

14、0XX01XXXXX101X100XXXX000X001XXXX11011100XXX00100011XXX1101110100001000100001110111011000000000010111111111001注:X为任意状态表4-11 74HC283输入输出状态进位输入4位加数输入4位被加数输入输出加法结果和进位CinA4A3A2A1B4B3B2B1CoutS4S3S2S1000000110111111111001110010101000110101010111110000111010011001表4-12 74HC4511输入输出状态使能输入数据输入译码输出LEDCBAabcdef

15、g0XXXXXX10XXXXX1100000110000111000101100011110010011001011100110110011111010001101001110101011010111101100110110111011101101111注:X为任意状态表4-13 74HC74输入输出状态输入输出置位输入复位输入CPD1Q01XX10XX11011100XX注:X为任意状态表4-14 74HC112输入输出状态输入输出置位输入复位输入11J1K1Q01XXX10XXX11111101111000XXX注:X为任意状态表4-15 74HC194输入输出状态输入输出模式串行CP并行

16、S1S0DSRDSLD0D1D2D3Q0n+1Q1n+1Q2n+1Q3n+10XXXXXXXXX111XXD0D1D2D3100XXXXXX1010XXXXX1011XXXXX110X0XXXX110X1XXXX注:X为任意状态表4-16 74HC161输入输出状态输入输出CPCEPCETD3D2D1D0Q3Q2Q1Q0TC0XXXXXXXX1XX000001110D3D2D1D01111XXXX1X0X1XXXX1XX01XXXX注:X为任意状态5、数字逻辑综合设计仿真及验证一、实验目的1、进一步熟悉利用EDA工具进行设计及仿真的流程。2、熟悉利用EDA工具中的图形化设计界面进行综合设计。3

17、、熟悉芯片烧录的流程及步骤。4、掌握分析问题、解决问题的综合能力,通过EDA工具设计出能解决实际问题的电路。二、实验环境1、Libero仿真软件。2、DIGILOGIC-2011数字逻辑及系统实验箱。3、Actel Proasic3 A3P030 FPGA核心板及Flash Pro4烧录器。三、实验内容1、编码器扩展实验设计一个电路:当按下小于等于9的按键后,显示数码管显示数字,当按下大于9的按键后,显示数码管不显示数字。若同时按下几个按键,优先级别的顺序是9到0。本实验需要两个编码器74HC148、一个数码显示译码器74HC4511、一个共阴极8段显示数码管LN3461Ax和一个数值比较器7

18、4HC85。2、有符号比较器的设计(选做)设计要求:设计一个电路,比较两个8位有符号数的大小,判定是否满足大于等于的关系。3、跑马灯设计设计要求:共8个LED灯连成一排,用以下3种模式来显示,模式选择使用两个按键进行控制。 模式1:先点亮奇数灯,即1、3、5、7灯亮,然后偶数灯,即2、4、6、8灯亮,依次循环,灯亮的时间按时钟信号的二分频设计。 模式2:按照1、2、3、4、5、6、7、8的顺序依次点亮所有灯;然后再按1、2、3、4、5、6、7、8的顺序依次熄灭所有灯,间隔时间按时钟信号的八分频设计。 模式3:按照1/8、2/7、3/6、4/5的顺序依次点亮所有灯,每次同时点亮两个灯;然后再按1

19、/8、2/7、3/6、4/5的顺序熄灭相应灯,每次同时熄灭两个灯,灯亮的时间按时钟信号的四分频设计。4、四位数码管扫描显示电路的设计设计要求:共4个数码管,连成一排,要求可以显示其中任意一个数码管。具体要求如下: 依次选通4个数码管,并让每个数码管显示相应的值,其结果由相应输入决定。 要求能在实验箱上演示出数码管的动态显示过程。必须使得4个选通信号DIG1、DIG2、DIG3、DIG4轮流被单独选通,同时,在段信号输入口加上希望在对应数码管上显示的数据,这样随着选通信号的变化,才能实现扫面显示的目的(经验数据为扫描频率大于等于50Hz)。5、交通灯控制器(选做)6、键盘扫描器和编码器(选做)四

20、、实验结果和数据处理1、编码器扩展实验(1)SmartDesign的连线图(2)功能仿真波形图(3)综合结果RTL图(4)引脚分配I/O Attribut Editor截图(注意:分配时应避开FPGA核心板已经占用的引脚,详情请参考实验指导书图1-7的标注;使用键盘及数码管显示扩展板时应按本文附录中的说明来分配相应的引脚。)(5)记录实测结果表5-2 编码器扩展实验结果记录表74HC148(1)输入74HC148(0)输入74HC4511显示字形I7I6I5I4I3I2I1I0I7I6I5I4I3I2I1I0abcdefg111111111111111111111111111111101111

21、111111111101111111111111101111111111111101111111111111101111111111111101111111111111101111111111111101111111111111101111111111111101111111111111101111111111111101111111111111101111111111111101111111111111101111111111111101111111111111112、有符号比较器的设计(选做)(1)SmartDesign的连线图(2)功能仿真波形图(3)综合结果RTL图(4)引脚分配I/O

22、 Attribut Editor截图(5)记录实测结果(自行选取参与比较数据)表5-5 有符号比较器实验结果记录表输入输出DataA7:0DataB7:0AGEB3、跑马灯设计(1)SmartDesign的连线图(2)功能仿真波形图(3)综合结果RTL图(4)引脚分配I/O Attribut Editor截图(5)记录实测结果表5-9 跑马灯实验记录表rstSI1SI2输出状态描述1XX0000010114、四位数码管扫描显示电路的设计(1)SmartDesign的连线图(2)功能仿真波形图(3)综合结果RTL图(4)引脚分配I/O Attribut Editor截图5、交通灯控制器(选做)6、键盘扫描器和编码器(选做)

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 教案示例

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com