QuartusII使用教学教程-完整实例.doc

上传人:小** 文档编号:560169 上传时间:2018-10-27 格式:DOC 页数:11 大小:813.50KB
返回 下载 相关 举报
QuartusII使用教学教程-完整实例.doc_第1页
第1页 / 共11页
QuartusII使用教学教程-完整实例.doc_第2页
第2页 / 共11页
点击查看更多>>
资源描述

《QuartusII使用教学教程-完整实例.doc》由会员分享,可在线阅读,更多相关《QuartusII使用教学教程-完整实例.doc(11页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、|Quartus 入门教程 (一个 Verilog 程序的编译和功能仿真) Quartus 是 Altera 公司推出的专业 EDA 工具,支持原理图输入、硬件描述语言的输 入等多种输入方式。硬件描述语言的输入方式是利用类似高级程序的设计方法来设计出数 字系统。接下来我们对这种智能的 EDA 工具进行初步的学习。使大家以后的数字系统设 计更加容易上手。 第一步:打开软件 快捷工具栏:提供设置(setting) ,编译(compile)等快捷方式,方便用户使用,用户也 可以在菜单栏的下拉菜单找到相应的选项。 菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。 信息栏:编译或者综合整个过程的

2、详细信息显示窗口,包括编译通过信息和报错信息。 快捷工具栏 信息栏 菜单栏 工作区 资源管理窗口 任务管理窗口|第二步:新建工程(filenew Project Wizard) 1 工程名称: 2 添加已有文件(没有已有文件的直接跳过 next) 所建工程的保存路径 工程名称 顶层模块名(芯片级设计为实体名) ,要求 与工程名称相同 如果有已经存在的文件 就在该过程中添加,软 件将直接将用户所添加 的文件添加到工程中。|3 选择芯片型号(我们选择 MAX3000A 系列下的 EPM3256AQC208-10 芯片) (注:如果不下载到开发板上进行测试,这一步可以不用设置) 4 选择仿真,综合工

3、具(第一次实验全部利用 quartus 做,三项都选 None,然后 next) 所选的芯片 的系列型号 快速搜索所需的芯片 选择芯片|5 工程建立完成(点 finish) 选择第三方综合工具,如果 使用 Quartus 内部综合工具 则选择 none 选择第三方仿真工具,如果 使用 Quartus 内部仿真工具 则选择 none 选择时序分析仪 工程建立完成,该窗口显示所建立工程所 有的芯片,其他第三方 EDA 工具选择情况, 以及模块名等等信息。|第三步:添加文件(filenew VHDL file) ,新建完成之后要先保存。 第四步:编写程序 以实现一个与门和或门为例,Verilog 描

4、述源文件如下: module test(a,b,out1,out2); input a,b; Output out1,out2; assign out1=a assign out2=a | b; endmodule 然后保存源文件; 第五步:检查语法(点击工具栏的这个按钮 (start Analysis & synthesis) ) 我们选择 Verilog HDL File 设计文件格式既选择 Verilog 文本输入形式|点击确定完成语法检查 第六步:(锁定引脚,点击工具栏的 (pin planner) (注:如果不下载到开发板上进行测试,引脚可以不用分配) 双击location 为您的输

5、入输出配置引脚。 该窗口显示了语法检查后的详细信 息,包括所使用的 io 口资源的多 少等内容,相应的英文名大家可以 自己查阅 语法检查成功,没有 error 级别以上的错误 各个端口的输入输出 类型 顶层某块的输入输出口与 物理的芯片端口想对应|第七步:整体编译(工具栏的按钮 (start Complilation) ) 第八步:功能仿真(直接利用quratus进行功能仿真) 1 将仿真类型设置为功能仿真(AssignmentssettingSimulator Settings 下拉Function) 选择为使用端 口选项卡 该窗口给出综合后代码的资源使 用情况既芯片型号等等信息。|2 建立

6、一个波形文件: (newVector Waveform File) 然后导入引脚(双击Name下面空白区域Node Finderlist点击 ): Functional 表示功能仿真,既不包括时 序信息,timinng 表示时序仿真。加入 线及寄存器的延时信息 添加波形文件作为信号输出文件, 以便观察信号的输出情况|接下来设置激励信号(单击 选择 TimingMultiplied by 1) 设置b信号源的时候类同设置a信号源,最后一步改为Multiplied by 2 双击弹出右 边的对话框 点击如下图添加 信号 点击产生端口列表 设置仿真的开始及结束时间 设置输入信号周期 我们自定义的输入

7、信号|然后要先生成仿真需要的网表(工具栏processingGenerate Functional Simulation Netlist) 接下来开始仿真(仿真前要将波形文件保存,点击工具栏 开始仿真):|观察波形,刚好符合我们的逻辑。功能仿真通过。 第九步:下载(点击 (Programmer),再点击Hardware Setup配置下载电 缆,单击弹出窗口的“Add Hardware”按钮,选择并口下载ByteBlasterMV or ByteBlasterMV,单击“Close”按钮完成设置。CPLD器件生成的下载文件后 缀名为.pof,点击下图所示方框,选中下载文件,然后直接点击start按钮开 始下载) 完! 由 a,b 两个信号经过我 们设计的模块产生的结果 下载是该选项 必须打勾 下载进度条 点击该按钮 开始下载

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 教案示例

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com