7存储器、复杂可编程逻辑器和现场可编程门阵列.ppt

上传人:赵** 文档编号:51614846 上传时间:2022-10-19 格式:PPT 页数:65 大小:2.14MB
返回 下载 相关 举报
7存储器、复杂可编程逻辑器和现场可编程门阵列.ppt_第1页
第1页 / 共65页
7存储器、复杂可编程逻辑器和现场可编程门阵列.ppt_第2页
第2页 / 共65页
点击查看更多>>
资源描述

《7存储器、复杂可编程逻辑器和现场可编程门阵列.ppt》由会员分享,可在线阅读,更多相关《7存储器、复杂可编程逻辑器和现场可编程门阵列.ppt(65页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、7.1 只读存储器只读存储器7.2 随机存取存储器随机存取存储器7.3 复杂可编程逻辑器件复杂可编程逻辑器件*7.4 现场可编程门阵列现场可编程门阵列*7.5 用用EDA技术和可编程器件的设计例题技术和可编程器件的设计例题2021/9/17掌掌握握半半导导体体存存储储器器字字、位位、存存储储容容量量、地地址址、等等基基本概念。本概念。掌握掌握RAM、ROM的工作原理及典型应用。的工作原理及典型应用。了解了解存储器的存储单元的组成及工作原理。存储器的存储单元的组成及工作原理。了了解解CPLD、FPGA的的结结构构及及实实现现逻逻辑辑功功能能的的编编程程原理。原理。教学基本要求教学基本要求2021

2、/9/17存储器的定义存储器的定义n 半导体存储器半导体存储器能存放大量二值信息的半导体器件。存储器的主要性能指标:存储器的主要性能指标:取快速度存储时间短存储数据量大存储容量大l 存储容量(存储容量(M):存储二值信息的总量。l 字数字数:字的总量。字数=2n,(n为存储器外部地址线的线数。)l 字长(位数)字长(位数):表示一个多位二进制码信息称为一个字,字的位数称为字长。M字数位数l 地址地址:为了区别各个不同的字,给每个字赋予一个编号,称为地址。l 访问速度访问速度:Mbp2021/9/17存储器RAM(Random-AccessMemory)ROM(Read-OnlyMemory)R

3、AM(随机存取存储器随机存取存储器):在运行状态可以随时进行读或写操作。存储的数据必须有电源供应才能保存,一旦掉电,数据全部丢失。ROM(只读存储器只读存储器):在正常工作状态只能读出信息。断电后信息不会丢失,常用于存放固定信息(如程序、常数等)。固定ROM可编程ROMPROMEPROME2PROMSRAM(StaticRAM):静态RAMDRAM(Dynamic RAM):动态RAM存储器的分类存储器的分类2021/9/177.1 只读存储器只读存储器7.1.1 ROM的的 定义与基本结构定义与基本结构7.1.2 两维译码两维译码7.1.3 可编程可编程ROM7.1.4 集成电路集成电路RO

4、M7.1.5 ROM的读操作与时序图的读操作与时序图7.1.6 ROM的应用举例的应用举例2021/9/17只读存储器,正常工作时内容只能读出,不能随时写入,所以称为只读存储器。(Read-OnlyMemory)ROM的分类按写入情况划分固定ROM可编程ROMPROMEPROME2PROM按存储单元中器件划分二极管ROM三极管ROMMOS管ROM7.1.1 ROM的的 定义与基本结构定义与基本结构2021/9/17存储矩阵地址译码器地址输入7.1.1 ROM的定义与基本结构的定义与基本结构数据输出控制信号输入输出控制电路地址译码器存储矩阵输出控制电路 ROM主要由主要由地址译码器地址译码器、存

5、储矩阵存储矩阵和和输出控制输出控制电路电路三部分组成。三部分组成。2021/9/171)ROM结构示意图结构示意图存储矩阵位线字线输出控制电路M=44地址译码器2021/9/17字线与位线的交点都是一个存储单元。交点处有二极管相当存1,无二极管相当存0当OE=1时输出为高阻状态000101111101111010001101地址A1A0D3D2D1D0内容当OE=0时2021/9/17字线存储矩阵位线字线与位线的交点都是一个存储单元。交点处有MOS管相当存0,无MOS管相当存1。7.1.2 二维译码二维译码该存储器的容量=?由由MOS 管组成的管组成的ROM12021/9/177.1.3 可编

6、程可编程ROM PROM:由带金属熔丝的二极管组成:由带金属熔丝的二极管组成 若将熔丝烧断,该单元则变成若将熔丝烧断,该单元则变成“0”。显然,一旦烧断后不能再。显然,一旦烧断后不能再恢复。恢复。故故PROM 中的内容只能写中的内容只能写一次。一次。出厂时,内容全为出厂时,内容全为1,利用专用,利用专用编程工具,将某些熔丝烧断来改写编程工具,将某些熔丝烧断来改写存储内容。存储内容。字线字线位位线线熔熔断断丝丝2021/9/17 E2PROM:由隧道由隧道MOS管组成的,管组成的,可用电擦出可用电擦出7.1.3 可编程可编程ROM EPROM:由叠栅:由叠栅SIMOS管组成的,芯片外有透明的石英

7、管组成的,芯片外有透明的石英板盖,板盖,利用紫外线照射可以擦出全部的内容。利用紫外线照射可以擦出全部的内容。快闪存储器(快闪存储器(Flash Memory):):读出操作与普通读出操作与普通ROM相同,但写操作是按照相同,但写操作是按照Block进行,先擦出再写进行,先擦出再写入。入。闪速存储单元不像闪速存储单元不像E2PROM那那样一次只能擦除一个字,而是可以样一次只能擦除一个字,而是可以用一个信号,在几毫秒内擦除一大用一个信号,在几毫秒内擦除一大区段。区段。2021/9/177.1.4 集成电路集成电路EPROMAT27C010,128K8位ROMVpp:是数据写入时的编程电压(编程写入

8、时,:是数据写入时的编程电压(编程写入时,Vpp=13V)Vcc:是读操作时的工作电压:是读操作时的工作电压2021/9/17工作模式工作模式A16 A0VPPD7 D0读读00XAiX数据输出数据输出输出无效输出无效X1XXX高阻高阻等待等待1XXAiX高阻高阻快速编程快速编程010AiVPP数据输入数据输入编程校验编程校验001AiVPP数据输出数据输出工工 作作 模模 式式2021/9/177.1.5 ROM的读操作与时序图的读操作与时序图(2)加入有效的片选信号(3)使输出使能信号有效,经过一定延时后,有效数据出现在数据线上;(4)让片选信号或输出使能信号 无效,经过一定延时后数据线呈

9、高阻态,本次读出结束。(1)欲读取单元的地址加到存储器的地址输入端;2021/9/17(1)用于存储固定的专用程序:如计算机的BIOS程序(基本的输入输出系统,现在计算机的BIOS程序一般都是用的E2PROM)(2)利用ROM可实现查表或码制变换等功能查表功能查表功能查某个角度的三角函数(sin、cos等)把角度作为地址输入,其对应的函数值作为存放在该地址内的数据,这称为“造表”。使用时,根据输入的地址(角度),就可在输出端得到所需的函数值,这就称为“查表”。码制变换码制变换把欲变换的编码作为地址,把最终的目的编码作为相应存储单元中的内容即可。7.1.6 ROM的应用举例的应用举例2021/9

10、/17CI3 I2 I1 I0二二进进制制码码O3O2O1O0格雷格雷码码CI3 I2 I1 I0格雷格雷码码O3O2O1O0二二进进制制码码00 0 0 00 0 0 010 0 0 00 0 0 000 0 0 10 0 0 110 0 0 10 0 0 100 0 1 00 0 1 110 0 1 00 0 1 100 0 1 10 0 1 010 0 1 10 0 1 000 1 0 00 1 1 010 1 0 00 1 1 100 1 0 10 1 1 110 1 0 10 1 1 000 1 1 00 1 0 110 1 1 00 1 0 000 1 1 10 1 0 010 1

11、 1 10 1 0 101 0 0 01 1 0 011 0 0 01 1 1 101 0 0 11 1 0 111 0 0 11 1 1 001 0 1 01 1 1 111 0 1 01 1 0 001 0 1 11 1 1 011 0 1 11 1 0 101 1 0 01 0 1 011 1 0 01 0 0 001 1 0 11 0 1 111 1 0 11 0 0 101 1 1 01 0 0 111 1 1 01 0 1 101 1 1 11 0 0 011 1 1 11 0 1 0用ROM实现二进制码与格雷码相互转换的电路2021/9/17C(A4)I3 I2 I1 I0(A3

12、A2A1A0)二二进进制制码码O3O2O1O0(D3D2D1D0)格雷格雷码码C(A4)I3 I2 I1 I0(A3A2A1A0)格雷格雷码码O3O2O1O0(D3D2D1D0)二二进进制制码码00 0 0 00 0 0 010 0 0 00 0 0 000 0 0 10 0 0 110 0 0 10 0 0 100 0 1 00 0 1 110 0 1 00 0 1 100 0 1 10 0 1 010 0 1 10 0 1 000 1 0 00 1 1 010 1 0 00 1 1 100 1 0 10 1 1 110 1 0 10 1 1 000 1 1 00 1 0 110 1 1 0

13、0 1 0 000 1 1 10 1 0 010 1 1 10 1 0 101 0 0 01 1 0 011 0 0 01 1 1 101 0 0 11 1 0 111 0 0 11 1 1 001 0 1 01 1 1 111 0 1 01 1 0 001 0 1 11 1 1 011 0 1 11 1 0 101 1 0 01 0 1 011 1 0 01 0 0 001 1 0 11 0 1 111 1 0 11 0 0 101 1 1 01 0 0 111 1 1 01 0 1 101 1 1 11 0 0 011 1 1 11 0 1 0C=A4I3 I2 I1 I0=A3A2A1A

14、0O3O2O1O0=D3D2D1D02021/9/17用用ROM实现二进制码与格雷码相互转换的电路实现二进制码与格雷码相互转换的电路 2021/9/17(3)ROM 在波形发生器中的应用在波形发生器中的应用ROMD/A计计数数器器CP计数脉冲计数脉冲送示波器送示波器34A1A2A0D3D2D1D0D/A010000000000011111111111000000000000000000000011111111111248129632021/9/17A1A2A0D3D2D1D0D/A010000000000011111111111000000000000000000000011111111111

15、24812963tuo02021/9/177.2 随机存取存储器(随机存取存储器(RAM)7.2.1 静态随机存取存储器静态随机存取存储器(SRAM)7.2.2 同步静态随机存取存储器(同步静态随机存取存储器(SSRAM)7.2.4 存储器容量的扩展存储器容量的扩展7.2.3 动态随机存取存储器动态随机存取存储器2021/9/177.2 随机存取存储器(随机存取存储器(RAM)7.2.1静态随机存取存储器(SRAM)1SRAM的基本结构CE OEWE=100高阻CEOEWE=00X输入CEOEWE=010输出CEOEWE=011输出呈高阻状态2021/9/17SRAM 的工作模式的工作模式工作

16、模式 CE WE OE I/O0I/Om-1保持(微功耗)1XX高阻读010数据输出 写00X数据输入 输出无效 011高阻2021/9/172.RAM存储单元存储单元静态SRAM(StaticRAM)双稳态存储单元电路列存储单元公用的门控制管,与读写控制电路相接Yi1时导通本单元门控制管:控制触发器与位线的接通。Xi=1时导通来自列地址译码器的输出来自行地址译码器的输出2021/9/172.RAM存储单元存储单元静态SRAM(StaticRAM)T5、T6导通T7、T8均导通Xi=1Yj=1触发器的输出与数据线接通,该单元通过数据线读取数据。触发器与位线接通静态静态RAM特点特点是:是:只要

17、不断电,数据就永久保存只要不断电,数据就永久保存2021/9/173.SRAM的读写操作及时序图的读写操作及时序图读操作时序图2021/9/173.SRAM的写操作及时序图的写操作及时序图写操作时序图2021/9/177.2.2 同步静态随机存取存储器同步静态随机存取存储器(SSRAM)SSRAM是一种高速RAM。与SRAM不同,SSRAM的读写操作是在时钟脉冲节拍时钟脉冲节拍控制下完成的。2021/9/17寄存地址线上的地址寄存要写入的数据ADV=0:普通模式读写ADV=1:丛发模式读写=0:写操作=1:读操作寄存各种使能控制信号,生成最终的内部读写控制信号;2位二进制计数器,处理A1A02

18、021/9/17ADV=0:普通模式读写片选无效=0:写操作WE=1:读操作WE普通模式读写模式:在每个时钟有效沿锁存输入信号,在一个时钟周期内,由内部电路完成数据的读(写)操作。读A1地址单元数据I/O输出A1数据;开始读A2数据I/O输出A2数据;开始读A3数据I/O输出A6数据;开始读A7数据开始读A4地址单元数据I/O输入A5数据;开始写A6数据I/O输出A4数据;开始写A5数据,2021/9/17读A2地址单元数据丛发模式读A2+1中的数据丛发模式读A2+2中的数据丛发模式读A2+3中的数据丛发模式重新读A2中的数据ADV=1:丛发模式读写丛发模式读写模式:在有新地址输入后,自动产生

19、后续地址进行读写操作,地址总线让出读A1地址单元数据丛发模式读A1+1中的数据丛发模式读A1+2中的数据2021/9/17在由SSRAM构成的计算机系统中,由于在时钟有效沿到来时,地址、数据、控制等信号被锁存到SSRAM内部的寄存器中,因此读写过程的读写过程的延时等待均在时钟作用下,由延时等待均在时钟作用下,由SSRAM内部控制内部控制完成完成。此时,系统中的微处理器在读写SSRAM的同时,可以处理其他任务,从而提高了整个系统的工作速度。SSRAM的使用特点:的使用特点:2021/9/17两种特殊类型的两种特殊类型的SRAM2021/9/171、动态存储单元及基本操作原理、动态存储单元及基本操

20、作原理T存储单元存储单元写操作:X=1=0T导通,电容器C与位线B连通输入缓冲器被选通,数据DI经缓冲器和位线写入存储单元如果DI为1,则向电容器充电,C存1;反之电容器放电,C存0。-刷新R行选线X读/写输出缓冲器/灵敏放大器刷新缓冲器输入缓冲器位线B7.2.3 动态随机存取存储器动态随机存取存储器2021/9/17读操作:X=1=1T导通,电容器C与位线B连通输出缓冲器/灵敏放大器被选通,C中存储的数据通过位线和缓冲器输出T/刷新R行选线X输出缓冲器/灵敏放大器刷新缓冲器输入缓冲器位线B每次读出后,必须及时对读出单元刷新,即此时刷新控制R也为高电平,则读出的数据又经刷新缓冲器和位线对电容器

21、C进行刷新。2021/9/172、DRAM的操作时序的操作时序2021/9/172021/9/177.2.4 存储器容量的扩展存储器容量的扩展位扩展可以利用芯片的并联方式实现并联方式实现。CEA11A0WED0D1D2D3WECEA0A114K4位I/O0I/O1I/O2I/O3D12D13D14D15CEA0A114K4位I/O0I/O1I/O2I/O3WE1.字长(位数)的扩展字长(位数)的扩展-用4K4位的芯片组成4K16位的存储系统。2021/9/177.2.4 RAM存储容量的扩展存储容量的扩展2.2.字数的扩展字数的扩展用用8K8位的芯片组成32K8位的存储系统。RAM1D0D7A

22、0A12CE1芯片数=4RAM1D0D7A0A12CE1RAM1D0D7A0A12CE1RAM1D0D7A0A12CE1系统地址线数=15系统:A0A14A13A14?2000H2001H2002H3FFFH4000H400H4002H5FFFH6000H6001H6002H7FFFH0000H0001H0002H1FFFH芯片:A0A122021/9/1732K8位存储器系统的地址分配表位存储器系统的地址分配表各RAM芯片译码器有效输出端扩展的地址输入端A14A138K8位RAM芯片地址输入端A12A11A10A9A8A7A6A5A4A3A2A1A0对应的十六进制地址码00000000000

23、00000000000000001000000000001011111111111110000H0001H0002H1FFFH0100000000000000000000000001000000000001011111111111112000H2001H2002H3FFFH1000000000000000000000000001000000000001011111111111114000H400H4002H5FFFHY0Y1Y2Y31100000000000000000000000001000000000001011111111111116000H6001H6002H7FFFH2021/9/1

24、7 字数的扩展可以利用外加译码器控制存储器芯片的片选输入字数的扩展可以利用外加译码器控制存储器芯片的片选输入端来实现。端来实现。2021/9/177.3 复杂可编程逻辑器件复杂可编程逻辑器件(CPLD)7.3.1 CPLD的结构的结构7.3.2 CPLD编程简介编程简介2021/9/17PLD的结构、表示方法及分类的结构、表示方法及分类与门阵列或门阵列乘积项和项PLD主体输入电路输入信号互补输入输出电路输出函数反馈输入信号可由或阵列直接输出,构成组合输出;通过寄存器输出,构成时序方式输出。1、PLD的基本结构的基本结构2021/9/17与门阵列或门阵列乘积项和项互补输入2021/9/172、P

25、LD的的逻辑符号表示方法逻辑符号表示方法(1)(1)连接的方式连接的方式2021/9/17(2)(2)基本门电路的表示方式基本门电路的表示方式F1=ABC与门或门A B C DF1AB C&L AB C1L DF1=A+B+C+D2021/9/17三态输出缓冲器输出恒等于0的与门输出为1的与门输入缓冲器(2)(2)基本门电路的表示方式基本门电路的表示方式2021/9/173、PLD的分类的分类PROMPLAPALGAL低密度可编程逻辑器件(LDPLD)EPLDCPLDFPGA高密度可编程逻辑器件(HDPLD)可编程逻辑器件(PLD)n按集成密度划分为2021/9/17n按结构特点划分u 基于与

26、或阵列结构的器件基于与或阵列结构的器件阵列型阵列型l PROM,EEPROMl PAL,GAL(简单简单PLD)l CPLD复杂可编程器件复杂可编程器件u 基于门阵列结构的器件基于门阵列结构的器件单元型单元型l FPGA现场可编程门阵列现场可编程门阵列2021/9/17PLD中的三种与、或阵列与阵列、或阵列均可编程(PLA)与阵列固定,或阵列可编程(PROM)与阵列可编程,或阵列固定(PAL和GAL等)n按阵列型中的与、或阵列是否编程分将其细分为三种按阵列型中的与、或阵列是否编程分将其细分为三种2021/9/17按编程工艺分类按编程工艺分类u 熔丝或反熔丝编程器件熔丝或反熔丝编程器件Actel

27、公司的公司的FPGA器件器件l体积小、集成度高、速度高、易加密、抗干扰、耐高温体积小、集成度高、速度高、易加密、抗干扰、耐高温l只能一次编程,在设计初期阶段不灵活只能一次编程,在设计初期阶段不灵活u Actel推出用推出用Flash编程保存数据的编程保存数据的FPGAu SRAM大多数公司的大多数公司的FPGAl可反复编程,实现系统功能的动态重构可反复编程,实现系统功能的动态重构l每次上电需重新下载,实际应用时需外挂每次上电需重新下载,实际应用时需外挂EEPROM用于保用于保存程序存程序u EEPROM大多数大多数CPLD器件器件l可反复编程可反复编程l不用每次上电重新下载,但相对速度慢,功耗

28、大不用每次上电重新下载,但相对速度慢,功耗大2021/9/17大的大的PLD生产厂家生产厂家2021/9/17复杂可编程逻辑器件复杂可编程逻辑器件(CPLD)l与PAL、GAL相比,CPLD的集成度更高,有更多的输入端、乘积项和更多的宏单元;l每个块之间可以使用可编程内部连线(或者称为可编程的开关矩阵)实现相互连接。lCPLD器件内部含有多个逻辑块,每个逻辑块都相当于一个GAL器件;2021/9/177.3.1 CPLD的结构的结构更多乘积项、更多宏单元、更多的输入信号。2021/9/177.3.1 CPLD的结构的结构2021/9/17通用的CPLD器件逻辑块的结构内部可编程连线区 n 宏单

29、元 1 宏单元 2 宏单元 3 可编程乘积项阵列 乘积项分配 宏单元 m 内部可编程连线区 m m I/O 块 XilnxXG500:90个36变量的乘积项,宏单元36个AlteraMAX7000:80个36变量的乘积项,宏单元16个2021/9/17可编程内部连线可编程内部连线可编程内部连线的作用可编程内部连线的作用是实现逻辑块与逻辑块之间、逻辑块与I/O块之间以及全局信号到逻辑块和I/O块之间的连接。连线区的可编程连接一般由E2CMOS管实现。可编程连接原理图内部连线宏单元或I/O连线E2CMOS管T当E2CMOS管被编程为导通时,纵线和横线连通;未被编程为截止时,两线则不通。2021/9

30、/17I/O单元是CPLD外部封装引脚和内部逻辑间的接口外部封装引脚和内部逻辑间的接口。每个I/O单元对应一个封装引脚,对I/O单元编程,可将引脚定义为输入、输出和双向功能。I/O单元单元数据选择器提供OE号。OE=1,I/O引脚为输出2021/9/17CPLD的设计步骤的设计步骤7.3.2 CPLD编程简介编程简介自动错误定位自动错误定位(语法或违背(语法或违背逻辑设计原则)逻辑设计原则),综合和优化,综合和优化(逻辑化简,(逻辑化简,层次化展开为层次化展开为平面化),映平面化),映射(实现小块射(实现小块的逻辑块),的逻辑块),布局布线等布局布线等2021/9/177.3.2 CPLD编程

31、简介编程简介编程过程(Download或Configure):将编程数据写入这些单元的过程。用户在开发软件中输入设计及要求。检查、分析和优化。完成对电路的划分、布局和布线编程的实现:由可编程器件的开发软件自动生成的。生成编程数据文件写入CPLD2021/9/17计算机根据用户编写的源程序运行开发系统软件,产生相应的编程数据和编程命令,通过五线编程电缆接口与CPLD连接。将电缆接到计算机的并行口,通过编程软件发出编程命令,将编程数据文件(*JED)中的数据转换成串行数据送入芯片。编程条件编程条件(1)专用编程电缆;(2)微机;(2)CPLD编程软件。2021/9/17FPGA的查找表的基本原理的查找表的基本原理2021/9/17FPGA的查找表的基本原理的查找表的基本原理 N个输入的逻辑函数需要个输入的逻辑函数需要2的的N次方的容量的次方的容量的SRAM来实现,来实现,一般多输入的查找表采用多个逻辑块级连的方式一般多输入的查找表采用多个逻辑块级连的方式2021/9/17CPLD和和FPGA的区别的区别2021/9/17

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 高考资料

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com