交通灯VHDL程序设计教学文案.doc

上传人:1595****071 文档编号:51516757 上传时间:2022-10-18 格式:DOC 页数:37 大小:144KB
返回 下载 相关 举报
交通灯VHDL程序设计教学文案.doc_第1页
第1页 / 共37页
交通灯VHDL程序设计教学文案.doc_第2页
第2页 / 共37页
点击查看更多>>
资源描述

《交通灯VHDL程序设计教学文案.doc》由会员分享,可在线阅读,更多相关《交通灯VHDL程序设计教学文案.doc(37页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、Good is good, but better carries it.精益求精,善益求善。交通灯VHDL程序设计-libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitydivisport(clk3:INstd_logic;clkout1,clkout2:OUTstd_logic);enddiv;architectureoneofdivisbeginprocess(clk3)variablecnt:integerrange0to25000000;variabletmp:std_logic;begi

2、nif(clk3eventandclk3=1)thenifcnt=24999999then-1s分频cnt:=0;tmp:=nottmp;elsecnt:=cnt+1;endif;endif;clkout1=25000thencnt:=0;tmp:=nottmp;elsecnt:=cnt+1;endif;endif;clkout2=tmp;endprocess;endone;LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYKZISPORT(CLK,dtufa:INSTD_LOGIC;Gn,Y

3、n,Rn,L1,L2,Gd,Yd,Rd:OUTSTD_LOGIC);END;ARCHITECTUREARTOFKZISBEGINPROCESS(CLK,dtufa)ISVARIABLES,y:INTEGERRANGE0TO124;BEGINifdtufa=1thenGn=1;-南北向绿灯亮Yn=1;Rn=0;L1=1;Gd=1;Yd=1;Rd=0;-东西向红灯亮L298THENS:=0;elseS:=S+1;endif;IF0=sandS33THEN-40s内Gn=0;-南北向绿灯亮Yn=1;Rn=1;L1=1;Gd=1;Yd=1;Rd=0;-东西向红灯亮L2=1;ELSIFs=33THEN-

4、南北向绿灯闪烁的第1秒Gn=1;Yn=1;Rn=1;L1=1;Gd=1;Yd=1;Rd=0;L2=1;ELSIFs=34THEN-南北向绿灯闪烁的第2秒Gn=0;Yn=1;Rn=1;L1=1;Gd=1;Yd=1;Rd=0;L2=1;ELSIFs=35THEN-南北向绿灯闪烁的第3秒Gn=1;Yn=1;Rn=1;L1=1;Gd=1;Yd=1;Rd=0;L2=1;ELSIFs=36THEN-南北向绿灯闪烁的第4秒Gn=0;Yn=1;Rn=1;L1=1;Gd=1;Yd=1;Rd=0;L2=1;ELSIFs=37THEN-南北向绿灯闪烁的第5秒Gn=1;Yn=1;Rn=1;L1=1;Gd=1;Yd=1

5、;Rd=0;L2=1;ELSIFs=38THEN-南北向绿灯闪烁的第6秒Gn=0;Yn=1;Rn=1;L1=1;Gd=1;Yd=1;Rd=0;L2=1;ELSIFs=39THEN-南北向黄灯闪烁1秒Gn=1;Yn=0;Rn=1;L1=1;Gd=1;Yd=1;Rd=0;L2=1;ELSIF39sands46THEN-北向左转灯亮Gn=1;Yn=1;Rn=0;L1=0;Gd=1;Yd=1;Rd=0;L2=1;ELSIFs=46THEN-北向左转灯闪烁的第1秒Gn=1;Yn=1;Rn=0;L1=1;Gd=1;Yd=1;Rd=0;L2=1;ELSIFs=47THEN-北向左转灯闪烁的第2秒Gn=1;Y

6、n=1;Rn=0;L1=0;Gd=1;Yd=1;Rd=0;L2=1;ELSIFs=48THEN-北向左转灯闪烁的第3秒Gn=1;Yn=1;Rn=0;L1=1;Gd=1;Yd=1;Rd=0;L2=1;ELSIFs=49THEN-北向左转灯闪烁的第4秒Gn=1;Yn=1;Rn=0;L1=0;Gd=1;Yd=1;Rd=0;L2=1;elsIF49SANDS83THEN-33s内Gn=1;-南北向红灯亮Yn=1;Rn=0;L1=1;Gd=0;Yd=1;Rd=1;-东西向绿灯亮L2=1;ELSIFs=83THEN-东西向绿灯闪烁的第1秒Gn=1;Yn=1;Rn=0;L1=1;Gd=1;Yd=1;Rd=1

7、;L2=1;ELSIFs=84THEN-东西向绿灯闪烁的第2秒Gn=1;Yn=1;Rn=0;L1=1;Gd=0;Yd=1;Rd=1;L2=1;ELSIFs=85THEN-东西向绿灯闪烁的第3秒Gn=1;Yn=1;Rn=0;L1=1;Gd=1;Yd=1;Rd=1;L2=1;ELSIFs=86THEN-东西向绿灯闪烁的第4秒Gn=1;Yn=1;Rn=0;L1=1;Gd=0;Yd=1;Rd=1;L2=1;ELSIFs=87THEN-东西向绿灯闪烁的第5秒Gn=1;Yn=1;Rn=0;L1=1;Gd=1;Yd=1;Rd=1;L2=1;ELSIFs=88THEN-东西向绿灯闪烁的第6秒Gn=1;Yn=1

8、;Rn=0;L1=1;Gd=0;Yd=1;Rd=1;L2=1;ELSIFs=89THEN-东西向黄灯闪烁1秒Gn=1;Yn=1;Rn=0;L1=1;Gd=1;Yd=0;Rd=1;L2=1;ELSIF89sands96THEN-西向左转灯亮Gn=1;Yn=1;Rn=0;L1=1;Gd=1;Yd=1;Rd=0;L2=0;ELSIFs=96THEN-西向左转灯闪烁的第1秒Gn=1;Yn=1;Rn=0;L1=1;Gd=1;Yd=1;Rd=0;L2=1;ELSIFs=97THEN-西向左转灯闪烁的第2秒Gn=1;Yn=1;Rn=0;L1=1;Gd=1;Yd=1;Rd=0;L2=0;ELSIFs=98TH

9、EN-西向左转灯闪烁的第3秒Gn=1;Yn=1;Rn=0;L1=1;Gd=1;Yd=1;Rd=0;L2=1;ELSIFs=99THEN-西向左转灯闪烁的第4秒Gn=1;Yn=1;Rn=0;L1=1;Gd=1;Yd=1;Rd=0;L2=0;endif;endif;endprocess;endart;LIBRARYieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;ENTITYshumaISPORT(clk1ms,clk1s,stufa:INstd_logic;-1ms时钟weig,weid:OUTstd_logic;dua

10、n:outstd_logic_vector(6downto0);ENDshuma;ARCHITECTURErtlOFshumaISsignaltemp,cnt:std_logic;signalCNT49:std_logic_vector(5downto0);signalduang,duand:std_logic_vector(6downto0);BEGINP0:process(clk1ms,duand,duang,temp)beginifclk1mseventandclk1ms=1thentemp=nottemp;endif;iftemp=0thenduan=0000000;-关闭段选weig

11、=0;-选通高位weid=1;-关闭高位duan=duang;-给段码赋值elseduan=0000000;weid=0;weig=1;duan=duand;endif;endprocessP0;P1:process(clk1s,stufa,cnt49)is-40s倒计时beginifstufa=1thencnt49=cnt49;elsif(clk1seventandclk1s=1)thenifCNT4949thenCNT49=CNT49+1;ELSECNT49duang=0110011;duandduang=1111001;duandduang=1111001;duandduang=1111

12、001;duandduang=1111001;duandduang=1111001;duandduang=1111001;duandduang=1111001;duandduang=1111001;duandduang=1111001;duandduang=1111001;duandduang=1101101;duandduang=1101101;duandduang=1101101;duandduang=1101101;duandduang=1101101;duandduang=1101101;duandduang=1101101;duandduang=1101101;duandduang=

13、1101101;duandduang=1101101;duandduang=0110000;duandduang=0110000;duandduang=0110000;duandduang=0110000;duandduang=0110000;duandduang=0110000;duandduang=0110000;duandduang=0110000;duandduang=0110000;duandduang=0110000;duandduang=1111110;duandduang=1111110;duandduang=1111110;duandduang=1111110;duanddu

14、ang=1111110;duandduang=1111110;duandduang=1111110;duandduang=1111110;duandduang=1111110;duandduang=0110000;duandduang=1111110;duandduang=1111110;duandduang=1111110;duandduang=1111110;duandduang=1111110;duandduang=1111110;duandduang=1111110;duandduang=1111110;duandduang=1111110;duandduang=0000000;dua

15、nd=0000000;ENDCASE;ENDPROCESSP2;ENDrtl;LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;USEIEEE.STD_LOGIC_ARITH.ALL;ENTITYjtISport(jclk5mhz,jtufa:INstd_logic;jweig,jweid:OUTstd_logic;jduan:outstd_logic_vector(6downto0);jGn,jYn,jRn,jL1,jL2,jGd,jYd,jRd:OUTSTD_LOGIC);endjt;architec

16、turertlofjtiscomponentdivport(clk3:INstd_logic;clkout1,clkout2:OUTstd_logic);endcomponent;componentkzPORT(CLK,dtufa:INSTD_LOGIC;Gn,Yn,Rn,L1,L2,Gd,Yd,Rd:OUTSTD_LOGIC);endcomponent;componentshumaPORT(clk1ms,clk1s,stufa:INstd_logic;weig,weid:OUTstd_logic;duan:outstd_logic_vector(6downto0);endcomponent;

17、signaltemp,jclk1s,jclk1ms:std_logic;beginprocess(jtufa)beginifjtufa=0thentempjclk5mhz,clkout1=jclk1s,clkout2=jclk1ms);U1:kzportmap(clk=jclk1s,Gn=jgn,Yn=jyn,Rn=jrn,L1=jl1,L2=jl2,Gd=jgd,Yd=jyd,Rd=jrd,dtufa=temp);U2:shumaportmap(clk1ms=jclk1ms,clk1s=jclk1s,weig=jweig,weid=jweid,duan=jduan,stufa=temp);endrtl;-

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 高考资料

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com