硬件设计规范学习教程.doc

上传人:创****公 文档编号:4344436 上传时间:2021-09-01 格式:DOC 页数:37 大小:2.37MB
返回 下载 相关 举报
硬件设计规范学习教程.doc_第1页
第1页 / 共37页
硬件设计规范学习教程.doc_第2页
第2页 / 共37页
点击查看更多>>
资源描述

《硬件设计规范学习教程.doc》由会员分享,可在线阅读,更多相关《硬件设计规范学习教程.doc(37页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、硬件设计规范学习教程版本:1.00时间:2011-11-29目 录1前言32印制电路板设计基础32.1印制电路设计32.2印制电路板的特点和类型32.3印制电路板的板面设计42.4印制电路板上的元器件布局与布线52.5印制导线的尺寸和图形62.6印制电路板的热设计63SCH和PCB设计规范63.1目的63.2SCH73.3PCB74硬件设计案例分析145.1常见错误类145.1.1印制板板号、日期未更新错误类145.1.2封装错误类155.1.3标签错误类175.1.4工艺边错误类175.1.5SCH、PCB网络不一致错误类185.1.6缺少表贴MARK点错误类185.1.7拼板错误类185.

2、1.8硬件设计和安装结构不匹配类185.1.9DRC校验时检查选项未选定错误类195.1.10选用已经停产、即将停产、无替代物料的元器件错误类205.1.11不适合大规模生产类205.1.12不符合印制板厂家要求类215.2输入输出接口参数是否匹配类235.2.1NR1806新平台背板总线案例分析235.2.2VLCOM13COC门电路案例分析235.2.3NR1101光藕输入电流阈值偏小、输出电源不匹配245.2.4HRCPU02C光电输出与后级总线驱动不匹配255.3电磁兼容类265.3.1UAPC新平台开入板NR1502A265.3.2MUX-64C装置275.4电源类285.4.1RC

3、S9519A装置电源输出值不符合要求(陈勇 撰)285.4.2RCS-9665电源变压器案例分析(汪世平 撰)305.4.3反激式变换器及相关案例(汪世平 撰)315.5时序匹配类345.6高速电路设计类341 前言编写本教程的目标是为了规范硬件开发,提高硬件开发水平,避免重复发生一些简单、常见的错误,节约开发成本以及提高研发效率。本教程首先介绍硬件设计的基本知识、SCH和PCB设计规范;在此基础之上,结合公司开发过程中曾经发生的错误设计,编写了硬件设计案例分析。下面分四个部分重点介绍:印制电路板设计基础、SCH和PCB设计规范、硬件设计师开发流程简介、硬件设计案例分析;2 印制电路板设计基础

4、2.1 印制电路设计 什么叫做印制电路设计?说明印制电路基材、结构尺寸、电气、机电元件的实际位置及尺寸,印制导线的宽度、间距、焊接盘及通孔的直径,印制接触片的分配,互连电气元件的布线要求以及为制定文件、制备照明底图所提供的各种数据等各项工作,统称为印制电路设计。2.2 印制电路板的特点和类型 印制电路是指在绝缘基板的表面按预定设计,用印制的方法所形成的印制导线和印制元件系统。具有印制电路的绝缘基板(底板)称之为印制电路板(简称印制板)。目前在电子设备中广泛应用的印制电路板只有印制导线而很少有印制元件。若在印制板上连接有元器件和某些机械结构件,且安装、焊接、涂覆等装配工序均已完成,则该印制电路板

5、即称之为印制装配板。 当前电子设备中广泛应用小型元件、晶体管、集成电路等,它们都必须安装在印制板上。特别是表面安装元件的应用,更和印制电路板密不可分。 使用印制电路板的电子设备具有可靠性高、一致性好和稳定性好;机械强度高、抗振动、抗冲击性强;设备的体积小、重量轻;便于标准化、便于维修等优点。缺点是制造工艺较复杂,小批量生产经济性差。印制电路板按其结构可分为以下四种:1.单面印制板。在厚度为1mm2mm的绝缘基板的一个表面敷有铜箔,并通过印制与腐蚀工艺将其制成印刷电路。2.双面印制板。在厚度为1mm2mm的绝缘基板的两个表面敷有铜箔,并通过印制与腐蚀工艺将其制成双面印刷电路。3.多层印制板。在绝

6、缘基板上制成三层以上印制电路的印制板称为多层印制板。它是由几层较薄的单面或双面印制电路板(厚度在0.4mm以下)叠合而成。为了把夹在绝缘基板中间的印制导线引出,多层印制板上 安装元件的孔必需金属化处理。即在小孔内表面涂覆金属层使之与夹在绝缘层中的印制导线沟通。随着集成电路的规模扩大,其引脚也日益增多。就会出现单双面的印制板面上可容纳全部元件而无法容纳所有的导线。多层印制板可解决此问题。4.挠性印制板。其基材是软性塑料(聚酯、聚酰亚胺等),厚度约0.25mm1mm。在其一面或两面覆以导电层以形成印制电路系统。多数还制成连接电路和其它器件相接。使用时将其弯成适合形状,用于内部空间紧凑的场合。如硬盘

7、的磁头电路和电子相机的控制电路。用作印制电路板的基材主要有环氧酚醛层压纸板和环氧酚醛玻璃布层压板两种。前者价廉而性能较差,后者价格稍高但性能较好。图1 PCB分类2.3 印制电路板的板面设计 1.设计印制电路板应先了解以下条件:(1)拟设计印制电路板的电原理图,以及该电路所用元器件的型号、规格和封装形式。(2)各元器件对板面安排的特殊要求。如元件的位置、频率、电位、温度、屏蔽和抗冲击等要求。特别要注意发热量大的元件的位置安排。 (3)印制板的机械尺寸、在整机中的安装位置和方法及电气连接形式等。2.基板的材质、板厚和板面尺寸。根据电路板的耐温要求、工作频率和电位高低选定基板。并结合电路的复杂程度

8、确定导电层的数目。印制板的外形一般为长方形,分为带插头和不带插头两种。3.印制电路网格应用。以电路板机械轮廓线的左下方为坐标原点。为了保证印制电路板与在其上安装的元件之间的一致性,必须在印制板网络的交点上连接或安装。印制电路网格的间距为2.5mm。当需要更小的网络时,应设辅助格。辅助格的间距为基本间距的1/4(0.625mm)或1/2(1.25mm)。4.元件的安放。根据电路图并以元器件的外形和封装以及布局要求,从输入到输出逐级顺序绘制。可先画出草图以确定大致定位。A面为元件面,B面为焊接面。 典型元件法:以外形基本一致的多数元件中选出典型元件作为布局的基本单元。将其它元件估算为相当于若干个典

9、型元件。元器件轮廓在板上的间距不小于1.5mm。如此算出整板上要排列多少个典型元件,需要多大的板面尺寸。大元件法:如电原理图中小电阻,小电容之类的元件较少。可先测算大元器件如变压器、集成电路等的面积,再放适当的余量来决定板面面积。2.4 印制电路板上的元器件布局与布线1.板上元器件布局的一般原则通常元器件布置在印制板的一面。此种布置便于加工、安装和维修。对于单面板,元器件只能布置在没有印制电路的一面,元器件的引线通过安装孔焊接在印制导线的焊盘上。双面板主要元器件也是安装在板的一面,在另一面可有一些小型的零件,一般为表面装贴元件。在保证电性能要求的前提下,元器件应平行或垂直于板面,并和主要板边平

10、行或垂直。在板面上分布均匀整齐。一般不得将元件重叠安放,如果确实需要重叠,应采用结构件加以固定。元件布局的要点。元件尽可能有规则地排列,以得到均匀的组装密度。大功率元件周围还应在布置热敏元件,和其他元件要有足够的距离。较重的元件应安排在靠近印制电路板支承点处。元件排列的方向和疏密要有空气对流。元器件宜按电原理图顺序成直线排列,力求紧凑以缩短印制导线长度。如果由于板面尺寸限制,或由于屏蔽要求而必须将电路分成几块时,应使每一块印制板成为独立的功能电路。以便于单独调整、测试和维修。这时应使每一块印制板的引出线为最少。为使印制板上的元器件的相互影响和干扰最小,高频电路和低频电路、高电位与低电位电路的元

11、器件不能靠得太近。元器件排列方向与相邻的印制导线应垂直交叉。特别是电感器件和有磁芯的元件要注意其磁场方向。线圈的轴线应垂直于印制板面,以求对其他零件的干扰最小。 考虑元器件的散热和相互之间的热影响。发热量大的元器件应放置在有利于散热的位置,如散热孔附近。如元件的工作温度高于40时应加散热器。散热器体积较小时可直接固定在元件上,体积较大时应固定在底板上。在设计印制板时要考虑到散热器的体积以及温度对周围元件的影响。提高印制板的抗振、抗冲击性能。要使板上的负荷分布合理以免产生过大的应力。对大而重的元件尽可能布置在靠近固定端,或加金属结构件固定。如印制板比较狭长,则可考虑用加强筋加固。2.制板布线的一

12、般原则低频导线靠近印制板边布置。将电源、滤波、控制等低频和直流导线放在印制板的边缘。公共地线应布置在板的最边缘。高频线路放在板面的中间,可以减小高频导线对地的分布电容。也便于板上的地线和机架相连。高电位导线和低电位导线应尽量远离,最好布线是相邻的导线间的电位差最小布线时应使印制导线与印制板边留有不小于板厚的距离,以便于安装和提高绝缘性能。避免长距离平行走线。印制电路板上的布线应短而直,减小平布线。必要时可以采用跨接线。双面印制板两面的导线应垂直交叉。高频电路的印制导线的长度和宽度宜小,导线间距要大。不同信号系统应分开。印制电路板上同时安装模拟电路和数字电路时,宜将这两种电路的地线系统完全分开,

13、它们的供电系统也要完全分开。采用恰当的接插形式,有接插件、插接端和导线引出等几种形式。输入电路的导线要远离输出电路的导线。引出线要相对集中设置。布线时使输入输出电路分列于电路板的两边,并用地线隔开。设置地线。印制板上每级电路的地线一般应自成封闭回路,以保证每级电路的地电流主要在本地回路中流通,减小级间地电流耦合。但印制板附近有强磁场时,地线不能做成封闭回路,以免成为一个闭合线圈而引起感生电流。电路的工作频率越高,地线应越宽,或采用大面积布铜。2.5 印制导线的尺寸和图形当元器件布局和布线方案确定后,就要具体地设计绘制印制图形。印制导线的宽度。覆箔板铜箔的厚度为0.02mm0.05mm。印制导线

14、的宽度不同,其截面积也不同。不同截面积的导线,在限定的温升条件下,其载流量也不同。因此,对于某覆箔板,印制导线的宽度取决于导线的载流量和允许温升。印制板的工作温度不能超过85。印制导线的宽度已标准化,建议采用0.5mm的整数倍。如有特别大的电流应另加导线解决。印制导线的间距。一般言,导线间距等于导线宽度,但不小于1mm。对于微型设备,不小于0.4mm。具体设计时应考虑下述三个因素:a.低频低压电路的导线间距取决于焊接工艺。采用自动化焊接时间距要小些,手工操作时宜大些。b.高压电路的导线间距取决于工作电压和基板的抗电强度。c.高频电路主要考虑分布电容对信号的影响。印制导线的图形。同一印制板上的导

15、线的宽度宜一致,地线可适当加宽。导线不应有急弯和尖角,转弯和过渡部分宜用半径不小于2mm的圆弧连接或用45度角连线,且应避免分支线。2.6 印制电路板的热设计由于印制电路板基材的耐温能力和导热系数都比较低铜箔的抗剥离强度随工作温度的升高而下降。印制电路板的工作温度一般不能超过85。如果不采取措施则过高的温度导致印制电路板损坏和导致焊点开裂,降温的方法是采用对流散热,可根据情况采用自然通风或强迫风冷。在印制板设计时可考虑采用以下几种方法:均匀分布热负载、零件装散热器,局部或全局强迫风冷。 3 SCH和PCB设计规范3.1 目的1.规范化使用cadence工具设计SCH和PCB;2.使得SCH功能

16、划分明确,可读性强;3.使得PCB布局合理,元器件排列有序,密度均匀,布线有条理。3.2 SCH1.一般规则及要求页面大小设为A3,页面名称应有实际意义,采用统一的图框模板,调用库里面的XN_STANDARD/XN_FRAMEA3;根据工作原理,将各元件自右向左,自上而下整齐排列;按功能分页,用网络名直接联系各页图纸;图面安排时,输入在右侧,输出在左侧,电源布置在右下部;对SCH做EXPORT PHYSICAL DRC检查,确保原理图无语法错误; 在原理图的设计过程中把用到的器件都要从公用的位于服务器上原理图库中调取,保证整个研发设计的协调一致,避免个人原因导致的出错。 2.原理图库的建立和调

17、用 原理图设计中,尽量沿用原来用过的成熟的参考电路,如果有新的器件,新的电路采用,需评审。如果用的器件在原来的原理图库中没有,则需要重新建一个库,建好以后需把库文件和相关的规格书发给库的管理人员,经核对,确认没有问题后,放到公司服务器上的公共库,再调用。库的调用,请在cadence 的CPM 工程管理界面上 点 setup ,讲 库的路径映射到服务器上,具体方法请参考cadence的使用文档3.信号完整性及电磁兼容性考虑对外部的输入输出信号要加相应的滤波/吸收期间,必要时加TVS或压敏电阻;各芯片的电源都要加去耦电容;高频区的BULK电容选择低ESR的钽电容或镍电容;去耦电容应在满足纹波要求的

18、条件下选择较小容值的电容,提高谐振频率;对高频信号在源端串电阻;4.原理图的设计规范Cadence的组件都可采用库的方式调用,原理图设计页面采用统一的图框模板,封面调用XN_STANDARD/XN_COVER_A4,每一页调用库里面的XN_STANDARD/XN_FRAMEA3;包括GND FGND GNDA VCC等都有相应的库调用,对于比较大的器件,一般我们会将器件按照功能分成多个PART,另外对于每一个部分的电路 都要有相应的文字注释 。5原理图设计上的检查,请参考以下的表格分类编号检查项备注风 险 信 息1单板内有无首次使用的元器件(在备注中列出元器件型号列表)2首次使用元器件的接口方

19、式、规格参数、应用环境是否经过论证或进行过实验,检查器件管脚编号和信号名称对应关系与芯片手册是否一致3新增器件的供货渠道及货期是否能满足研发及工程使用的要求基 本 检 查4单板硬件设计说明书是否已经写好5依据单板硬件设计说明书检查单板内模块间接口正确性,检查信号流向是否正确,数量是否完备6依据单板硬件设计说明书检查单板对内对外接口是否正确7对于升级单板,核对升级后的单板是否能适应前版本现场维护的需求;如需更改软件,检查硬件设计说明书中是否详细列出更改内容复 位 电 路 8是否采用标准复位电路,各个等级的电源是否均有效监控9WDI输入、复位输出是否正确上拉;如有多个复位输出,是否确保无冲突时 钟

20、10时钟参数(频率、电平、稳定度等)选择是否合理,单个时钟的负载是否2个11时钟信号是否有匹配(时钟线长度超过1000mil则需要加匹配电阻)可 编 程 逻 辑12CLK、WR等关键时钟信号是否接全局时钟输入管脚,CS、RST等是否接全局输入管脚13是否将可编程器件未使用的专用输入管脚接地或输入电 源14电感和磁珠是否按照要求使用(非推荐电路,禁止使用电感;磁珠仅用于PLL电源、晶振电源、模拟电源等的滤波)15板内DC/DC电源、LDO、电源模块等输出负载裕度是否40%;非稳压的DC/DC电源的实际功耗是否20%额定(如不足则需要增加电阻负载以满足稳压要求)16单板的整体功耗是否已预估(在备注

21、中类出预估值)17如有模拟电路,模拟电源回路是否独立18CPU、DSP器件如果有上下电顺序要求,是否满足外 部 总 线19CPU与外围器件数据总线是否连接正确,无MSB或LSB配合的问题20地址总线与数据位宽配置是否匹配(确保地址总线A0、A1偏移正确)21是否为MEMORY或FLASH扩容预留正确的地址输入22数据总线读、写对应关系是否正确;数据总线驱动器的方向控制、使能是否正确23总线拓扑结构是否检查,保证总线负载和总线驱动延迟的合理性(20M以上高速总线负载不超过4个,20M及以下低速总线负载不超过6个),超过负载能力后要增加驱动基 本 关 注 点24传输距离超过2000mil、信号上升

22、速率小于10ns的信号是否在源端或负载端串接100欧匹配电阻;如果传输距离超过6000mil,是否在源端和负载端同时串接100欧匹配电阻25根据芯片数据手册检查器件外部管脚的上下拉需求,是否无遗漏,是否无重复上下拉26电平匹配是否合理(尽量避免使用3.3V输出驱动5V输入或5V输出驱动3.3V输入这类电平不完全匹配的电路,以免器件差异造成逻辑错误)27各级差分线信号极性是否连接正确;28芯片的中断处理电路设计是否合理(有上拉或下拉,保证稳态,无毛刺)29所有OD、OC管脚是否进行上下拉处理30元器件的参数规格(如速率、电平、驱动能力等)是否与要求完全匹配,并且有一定裕量31CMOS器件输入脚是

23、否都有确定状态32具有方向控制芯片的方向控制是否正确,确认方向控制是否为稳态(RS485差分驱动器应该默认为输入)芯 片 去 耦33芯片的去耦电容是否按照芯片来配置,并且摆放在芯片附近(不允许最后单独添加,容易遗漏)34去耦电容的容值是否按照要求来选择(电容的谐振频率要与滤波频率一致,如100pF-500MHz,1nF-150MHz,0.1uF-18MHz;容值搭配呈百倍递增,如100pF+10nF+1uF+100uF,1nF+100nF+4.7uF+100uF等,10uF及以下容值都选用陶瓷电容,10uF以上选择低ESR、低ESL的钽电容或SP-CAP电容)JTAG 连接35有JTAG接口的

24、芯片,是否引出JTAG接口36JTAG连接是否正确,上下拉电阻是否完备冗 余 设 计37对外通讯口是否已预留匹配电阻及跳线(RS485、CAN,在现场使用时根据总线负载情况灵活选择)38冗余设计电路是否有设计方案的注释说明特 殊 关 注 点39RS485差分驱动器的RXD单端输出是否加4.7k上拉电阻(防止上电时输入端误触发,产生误码)40比较器输出是否有滞回(确保输入信号没有时比较器不会发生振荡)41CPU板、DSP板等直接驱动跳闸的I/O状态是否确保上电时无抖动,默认不输出42启动电源输出端、驱动继电器的MOSFET输出端是否无并联电容器43是否确保译码器正确使用(不建议用于驱动AD、DS

25、P等高速芯片的控制信号,如使用应说明并确保无毛刺)44LED的驱动是否采用灌电流的方式,限流电阻是否串在阴极(LED的阳极接正电源,阴极串联电阻,可有效保护门电路)45掉电保持电路是否按照标准电路来设计(3V纽扣电池+RTC+SRAM+电源切换芯片平;法拉电容+RTC+NVRAM or FRAM)46HFBR-2412的输出上拉电阻推荐值为560欧姆;HFBR-2416不能用于传输直流信号或低速信号,如IRIG-B接 口 防 护47调试接口与内部信号是否有隔离、是否有防护(隔离后避免引入交流干扰)48单板对外通讯接口RS232、RS485、CAN等是否有隔离和防护(对外接口要在单端信号或差分信

26、号与信号地之间接TVS保护器,以防止ESD或浪涌导致器件失效)49保护地与内部信号地之间、保护地与通讯隔离地之间、保护地与强电光耦公共端之间是否有跨接电容用于泄放(PWR、AC、开入、开出板用KX222MA5BM37安规电容,其他板用高压瓷片电容)50板卡的内部跳线与CPU或DSP连接时是否串接10k限流电阻(防止由于ESD带来的偶发性的信号过电压导致芯片输入级门电路损坏)51用于板间信号驱动的I/O是否有串联电阻,阻值大小根据具体信号的特点确定(以防止由于ESD或EMC带来偶发性的信号过电压导致器件失效)可生 产性52单板所用的物料种类是否最优(CPU、DSP、FPGA等器件去耦电容只用08

27、05和0603封装,数字电路电阻封装统一使用0805)53BARCODE、PCB版号、防擦条(双面贴的一定要加)是否在原理图中已经放置可 测 试 性54电源输出、复位信号、接地探针和其他的关键信号是否都有良好的测试点(接地点不少于5个)电源是否采用标准测试点库55可编程器件是否引出足够的测试脚,以便于调试(FPGA不少于8个测试引脚,可充分利用到背板IO信号引出测试点)56首次使用芯片的关键信号是否引出测试点注释 说明57功能模块、跳线等是否有注释说明58接口设计参数、注意事项等是否有注释说明(通信速率范围等)原理图校核59原理图设计完毕后,是否进行过DRC,确保原理图无语法错误3.3 PCB

28、1.准备工作根据结构图定义好设置板卡尺寸、布置安装孔、接插件等需要定位的器件(注意输入输出端子的定位、朝向及装配尺寸,以免出现结构装配方面的问题;),并给这些器件赋予不可移动属性。板卡四周倒圆角,倒角半径100mil。定义PCB图的零坐标在板卡的左端线与下端线的交接点上,对于CPU板或COM板等布线密度较大的板卡Grid设定为10mil,其他板卡设为25mil或50mil。在印制板四角上放置Mark点,距印制板边缘距离大于200mil(如有空间,可在管脚密度较高的芯片对角放置Mark点)。2 布局基本原则是遵照“先大后小,先难后易”,即重要的单元电路、核心元器件应当优先布局。布局中应参考原理框

29、图,根据单板的主信号流向规律安排主要元器件。布局应尽量满足以下要求:总的连线尽可能短,关键信号线最短;高电压、大电流信号与小电流,低电压的弱信号完全分开;模拟信号与数字信号分开;高频信号与低频信号分开;高频元器件的间隔要充分。按照均匀分布、重心平衡、版面美观的标准优化布局。l 器件布局栅格的设置:一般IC器件布局时,栅格应为25-50 mil,小型表面安装器件,如表面贴装元件布局时,栅格设置应不少于10mil。l 同类型插装元器件在X或Y方向上应朝一个方向放置。同一种类型的有极性分立元件也要力争在X或Y方向上保持一致,便于生产和检验。l 发热元件要一般应均匀分布,以利于单板和整机的散热,除温度

30、检测元件以外的温度敏感器件应远离发热量大的元器件。l 元器件的排列要便于调试和维修,亦即小元件周围不能放置大元件,需调试的元器件周围要有足够的空间。l BGA与相邻元件的距离5mm。其它贴片元件相互间的距离0.7mm;贴装元件焊盘的外侧与相邻插装元件的外侧距离大于2mm;在插装元件焊接面周围5mm内也不能有贴装元器件。l IC去耦电容的布局要尽量靠近IC的电源管脚,并使之与电源和地之间形成的回路最短。 l 元件布局时,应适当考虑使用同一种电源的器件尽量放在一起,以便于将来的电源分隔。 l 为了防止不同工作频率的模块之间的互相干扰,同时尽量缩短高频部分的布线长度。通常将高频的部分布设在接口部分以

31、减少布线长度,这样的布局仍然要考虑到低频信号可能受到的干扰。l 用于阻抗匹配目的阻容器件的布局,要根据其属性合理布置:串联匹配电阻的布局通常应靠近该信号的驱动端。3分层l 确定层数:布局基本确定后,应用PCB设计工具的统计功能,报告网络数量,网络密度,平均管脚密度等基本参数,以便确定所需要的信号布线层数。信号层数的确定可参考以下经验数据:Pin密度 信号层数 板层数 1.0以上 2 2 0.6-1.0 2 4 0.4-0.6 4 6 0.3-0.4 6 8 注:Pin密度= 板面积(平方英寸)/(板上管脚总数/14)布线层数的具体确定还要考虑单板的可靠性要求,信号的工作速度,制造成本和交货期等

32、因素。 l 布线层设置:在高速数字电路设计中,电源与地层应尽量靠在一起,中间不安排布线。所有布线层都尽量靠近一平面层,优选地平面为走线隔离层。为了减少层间信号的电磁干扰,相邻布线层的信号线走向应取垂直方向。可以根据需要设计1-2个阻抗控制层,如果需要更多的阻抗控制层需要与PCB产家协商。阻抗控制层要按要求标注清楚。将单板上有阻抗控制要求的网络布线分布在阻抗控制层上。层的设置通常可参照下表:12345678四层板TOPGNDVCCBOT六层板TOPGNDSIG1SIG2VCCBOT八层板TOPGND1SIG1VCC1GND2SIG2VCC2BOT4.布线4.1线宽和线间距:l 电流强度:当信号的

33、平均电流较大时,应考虑布线宽度所能承载的的电流,线宽可参考以下数据:不同厚度,不同宽度的铜箔的载流量见下表: t=101 OZ2 OZ3 OZ宽度mm 电流 A 宽度mm 电流 A 宽度 mm 电流 A0.15 0.20 0.15 0.50 0.15 0.700.20 0.55 0.20 0.70 0.20 0.900.30 0.80 0.30 1.10 0.30 1.300.40 1.10 0.40 1.35 0.40 1.700.50 1.35 0.50 1.70 0.50 2.000.60 1.60 0.60 1.90 0.60 2.300.80 2.00 0.80 2.40 0.80

34、2.801.00 2.30 1.00 2.60 1.00 3.201.20 2.70 1.20 3.00 1.20 3.601.50 3.20 1.50 3.50 1.50 4.202.00 4.00 2.00 4.30 2.00 5.102.50 4.50 2.50 5.10 2.50 6.00 用铜皮作导线通过大电流时,铜箔宽度的载流量应参考表中的数值降额50%去选择考虑。 在PCB设计加工中,常用OZ(盎司)作为铜皮厚度的单位,1 OZ铜厚的定义为1 平方英尺面积内铜箔的重量为一盎,对应的物理厚度为35um。l 工作电压:线间距的设置应考虑绝缘强度。工作电压直流值或有效值V 空气间隙 m

35、m 爬电距离 mm 501.01.2701.01.21251.41.61501.41.62002.02.02502.02.53002.53.24003.54.06005.86.3l 可靠性:可靠性要求高时,倾向于使用较宽的布线和较大的间距。l 加工条件:最小线宽/间距 6mil/6mil ;孔的设置:制成板的最小孔径定义取决于板厚度,板厚孔径比应小于 5-8。孔径优选系列如下: 孔径24mil20mil16mil12mil12mil焊盘直径40mil35mil28mil25mil20mil4.2定义和分割平面层:平面层一般用于电路的电源和地层(参考层),由于电路中可能用到不同的电源和地层,需要

36、对电源层和地层进行分隔,其分隔宽度要考虑不同电源之间的电位差:隔离电源和地时分隔宽度为100mil,电位差大于12V时分隔宽度为50mil,电位差小于12V可选20mil。平面分隔要考虑高速信号回流路径的完整性。当由于高速信号的回流路径遭到破坏时,应当在其他布线层给予补偿。例如可用接地的铜箔将该信号网络包围,以提供信号的地回路。4.3布线规则:电源、摸拟小信号、高速信号、时钟信号和同步信号等关键信号优先布线。从单板上连接关系最复杂的器件着手布线,从单板上连线最密集的区域开始布线。尽量为时钟信号、高频信号、敏感信号等关键信号提供专门的布线层,并保证其最小的回路面积。必要时应采取手工优先布线、屏蔽

37、和加大安全间距等方法保证信号质量。有阻抗控制要求的网络应布置在阻抗控制层上。布线需遵循以下原则:l 回路最小: 环路最小规则,即信号线与其回路构成的环面积要尽可能小,环面积越小,对外的辐射越少,接收外界的干扰也越小。针对这一规则,在地平面分割时,要考虑到地平面与重要信号走线的分布,防止由于地平面开槽等带来的问题;在双层板设计中,在为电源留下足够空间的情况下,应该将留下的部分用参考地填充,且增加一些必要的孔,将双面地信号有效连接起来,对一些关键信号尽量采用地线隔离,对一些频率较高的设计,需特别考虑其地平面信号回路问题,建议采用多层板为宜。l 串扰控制:串扰是指PCB上不同网络之间因较长的平行布线

38、引起的相互干扰,主要是由于平行线间的分布电容和分布电感的作用。克服串扰的主要措施是加大平行布线的间距,遵循3W规则(相邻平行线的中心距大于线宽的3倍)。l 屏蔽保护:对应地线回路规则,实际上也是为了尽量减小信号的回路面积,多见于一些比较重要的信号,如时钟信号,同步信号;对一些特别重要,频率特别高的信号,应该考虑采用铜轴电缆屏蔽结构设计,即将所布的线上下左右用地线隔离,而且还要考虑好如何有效的让屏蔽地与实际地平面有效结合。l 走线的方向控制:相邻层的走线方向成正交结构。避免将不同的信号线在相邻层走成同一方向,以减少不必要的层间串扰;当由于板结构限制(如某些背板)难以避免出现该情况,特别是信号速率

39、较高时,应考虑用地平面隔离各布线层,用地信号线隔离各信号线。l 走线的开环检查:不允许出现一端浮空的布线, 主要是为了避免产生天线效应,减少不必要的干扰辐射和接受,否则可能带来不可预知的结果。l 阻抗匹配检查:同一网络的布线宽度应保持一致,线宽的变化会造成线路特性阻抗的不均匀,当传输的速度较高时会产生反射,在设计中应该尽量避免这种情况。在高速数字电路中,当PCB布线的延迟时间大于信号上升时间(或下降时间)的1/6时,该布线即可以看成传输线。为了保证信号的输入和输出阻抗与传输线的阻抗正确匹配,可以采用多种形式的匹配方法,所选择的匹配方法与网络的连接方式和布线的拓扑结构有关。 对于点对点(一个输出

40、对应一个输入)连接,优先选择源端串联匹配。对于点对多点(一个输出对应多个输出)连接,当网络的拓扑结构为菊花链时,应选择终端并联匹配。当网络为星型结构时,可以参考点对点结构。星形和菊花链为两种基本的拓扑结构, 其他结构可看成基本结构的变形, 可采取一些灵活措施进行匹配。在实际操作中要兼顾成本、功耗和性能等因素,一般不追求完全匹配,只要将失配引起的反射等干扰限制在可接受的范围即可。l 走线闭环检查: 防止信号线在不同层间形成自环。在多层板设计中容易发生此类问题,自环将引起辐射干扰。 l 走线的分枝长度控制:尽量控制分枝的长度,一般的要求是分枝的长度延迟时间小于信号上升时间(或下降时间)的1/20。

41、l 走线长度控制:在设计时应该让布线长度尽量短,以减少由于走线过长带来的干扰问题,特别是一些重要信号线,如时钟线,务必将其振荡器放在离器件很近的地方。对驱动多个器件的情况,应根据具体情况决定采用何种网络拓扑结构。l 倒角: PCB设计中应避免产生锐角和直角。l 孤立铜区控制:孤立铜区的出现,将带来一些不可预知的问题,通常是将孤立铜区接地或删除。l 电源与地线层完整性:对于导通孔密集的区域,要注意避免孔在电源和地层的挖空区域相互连接,形成对平面层的分割,从而破坏平面层的完整性,并进而导致信号线在地层的回路面积增大。l 重叠电源与地线层: 不同电源层在空间上要避免重叠。主要是为了减少不同电源之间的

42、干扰,特别是一些电压相差很大的电源之间,电源平面的重叠问题一定要设法避免,难以避免时可考虑中间隔地l 20H规则:由于电源层与地层之间的电场是变化的,在板的边缘会向外辐射电磁干扰。称为边沿效应。解决的办法是将电源层内缩,使得电场只在接地层的范围内传导。以一个H(电源和地之间的介质厚度)为单位,若内缩20H则可以将70%的电场限制在接地层边沿内。5.自检l 对PCB做DRC,并与SCH比较网络表(非常重要)。l 检查高频、高速、时钟及其他脆弱信号线,是否回路面积最小、是否远离干扰源、是否有多余的过孔和绕线、是否有垮地层分割区。l 检查晶体、变压器、光藕、电源模块下面是否有信号线穿过,应尽量避免在

43、其下穿线,特别是晶体下面应尽量铺设接地的铜皮。l 报告布线完成情况是否百分之百;是否有线头;是否有孤立的铜皮。l 检查电源、地的分割正确。电源层分割不要有直角;在过孔比较密集的地方,要注意是否有电源层或地层被割断的地方。l 检查定位孔、定位件是否与结构图一致,mark点是否加上并符合工艺要求。l 检查器件的序号是否按从左至右的摆放规则,并且无丝印覆盖焊盘;检查丝印的版本号是否符合版本升级规范。l 加工艺边,预留测试标签(NAME,8mm(315mil)30mm(1180mil)和条形码(BAR CODE,8mm30mm)的位置;丝印框放到BOT层上,尽量放在 BOT层 靠上位置的 左右 两边。

44、l 对于丝印,通常本PCB 的名称加时间,如HP6101-1-2011-11-10 ,放置在PCB 的左下方空的位置,大小采用 TEXT_BLOCK# 4font: ANSIheight: 63.00width: 47.00 photoplot width: 10.00 spacing: 16.00 line spacing: 79.00l 普通器件位号的丝印 采用 TEXT_BLOCK# 17font: ANSIheight: 38.00width: 22.00photoplot width: 5.00spacing: 0.00line spacing: 0.00374 硬件设计案例分析(供参考)硬件设计案例分析,其目的是减少、杜绝硬件设计中由于缺乏经验、技巧、细心而发生的一些最常见错误,提高设计效率,减少开发成本;着重从以下的几个大的类别中进行分析:常见错误类、电磁兼容类、电源类(如:噪声、输出值、变压器)、信号之间接口类(如:不符合要求)、时序匹配类、输入输出是否匹配类、电源、地、电源去藕类、光藕输出不合要求;

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 大学资料

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com