毕业设计(论文)-基于FPGA的IIR滤波器设计(23页).doc

上传人:1595****071 文档编号:38806420 上传时间:2022-09-05 格式:DOC 页数:23 大小:566.50KB
返回 下载 相关 举报
毕业设计(论文)-基于FPGA的IIR滤波器设计(23页).doc_第1页
第1页 / 共23页
毕业设计(论文)-基于FPGA的IIR滤波器设计(23页).doc_第2页
第2页 / 共23页
点击查看更多>>
资源描述

《毕业设计(论文)-基于FPGA的IIR滤波器设计(23页).doc》由会员分享,可在线阅读,更多相关《毕业设计(论文)-基于FPGA的IIR滤波器设计(23页).doc(23页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、-毕业设计(论文)-基于FPGA的IIR滤波器设计-第 17 页邯郸学院本科毕业论文题 目 基于FPGA的IIR滤波器设计作 者 指导教师副教授年 级 2007级专 业 电子信息工程二级学院 信息工程学院邯郸学院信息工程学院2011年5月郑重声明本人的毕业论文(设计)是在指导教师郭红俊的指导下独立撰写完成的。毕业论文(设计)没有剽窃、抄袭、造假等违反学术道德、学术规范和侵权的行为,本人愿意承担由此产生的各种后果,直至法律责任,并愿意通过网络接受公众的监督。特此郑重声明。毕业论文(设计)作者(签名): 年 月 日摘 要 在现代电子系统中,可编程逻辑器件和EDA技术得到了飞速发展。基于FPGA的信

2、号处理器在科学和工程技术等许多领域中得到了广泛的应用,其中现代数字信号处理系统的重要组成部分是数字滤波器。无限长单位冲激响应(IIR)数字滤波器是非常重要的一类滤波器,与有限长单位冲激响应(FIR)数字滤波器相比,IIR能够以较低的阶次获得较高的频率选择特性从而得到了广泛的应用。本论文采用一种基于现场可编程门阵列(FPGA)的IIR数字滤波器的设计方案。首先从速度和资源方面研究DSP算法中的加法器、乘法器、乘累加器,并讨论了基于IIR数字滤波器的相关理论知识。研究了IIR数字滤波器的常用设计方法,并分析了各种IIR数字滤波器的实现结构等基本理论,由分析结果确定了所要设计的IIR数字滤波器的实现

3、结构。然后基于FPGA的结构特点,研究了IIR数字滤波器的FPGA设计与实现,并通过Quartus设计平台,采用自顶向下的模块化设计思想,将整个IIR数字滤波器分为:时序控制、延时、补码乘加和累加四个功能模块。分别对各模块进行VHDL语言描述,并进行了仿真和综合。仿真结果表明,本设计的IIR数字滤波器运算速度较快,系数改变灵活,有较好的参考价值。关键词 数字滤波器 无限长单位冲激响应 现场可编程门阵列 VHDL硬件描述语言Based on the FPGA IIR filter developmentMa Xiaohong Directed by Prof. Guo HongjunAbstra

4、ct In the modern eletrical syatem,PLD device and EDA technology are developed,the signal processor based on FPGAs have been applied to lots of field,such as in science and project technique. one of the important contents of digital signal process is digital filter, Infiinite impulse response units (

5、IIR) digital filter is a very important type of filters. With its good characteristic of frequency selection in lower order in comparison with finite impulse response (FIR), IIR digital filter is widely applied in modern signal processing systems. This subject is a IIR digital filter design based on

6、 the using of field programmable gate array (FPGA). Firstly, based on the analysis of IIR basic realization architectures and the related theoretic analysis, the design methods of IIR sigital filter has been discussed and the structures of a variety of IIR digital filter which can be realized has be

7、en analysised. For the results of the theoretical analysis, the final architecture and realization of IIR digital has been decided, Based on the structural characteristics of FPGA, the FPGA design and realization of IIR digital filter has been researched. By used the design plant of Quartus , we ado

8、pt blocking method named “Top-down ” and divide the entire IIR digital filter into four blocks, which are Clock control, Time delay, Multiply-addition and Progression. After described with VHDL,we do emulate and synthesis to each block. The result shows that, the introduced IIR digital filter runs f

9、ast, and the coefficient changes agility. It has high worth for consulting.Key words Digital filter infinite impulse response units field programmable gate array VHDL hardware description language目 录摘 要I外文页II1 序言12 选题背景12.1课题来源12.2课题的目的和意义13 IIR数字滤波器及其硬件实现方法23.1 IIR数字滤波器概念23.1.1 IIR数字滤波器的原理23.1.2 II

10、R数字滤波器的基本结构23.1.3 IIR数字滤波器的设计方法23.2 IIR数字滤波器的硬件实现方案34 EDA技术和可编程逻辑器件64.1 电子设计自动化EDA技术64.2 可编程逻辑器件64.2.1可编程逻辑器件简介64.2.2使用FPGA器件进行开发的优点64.2.3 FPGA设计的开发流程74.3 硬件描述语言VHDL及数字系统设计方法74.3.1 硬件描述语言VHDL简介74.3.2利用VHDL设计数字系统75 IIR数字滤波器的设计与仿真结果分析75.1 各模块的设计与仿真结果分析75.1.1 时序控制模块的设计与仿真结果分析85.1.2 延时模块的设计与仿真结果分析85.1.3

11、 补码乘加模块的设计与仿真结果分析95.1.4 累加模块的设计与仿真结果分析105.1.5 顶层模块设计115.2 IIR数字滤波器的仿真与结果分析115.2.1 IIR数字滤波器的系统设计115.2.2 IIR数字滤波器的系统仿真与结果分析125.2.3 高阶IIR数字滤波器的实现13参考文献14致 谢15附录16基于FPGA的IIR滤波器设计1 序言随着EDA技术的发展和应用领域的扩大和深入,EDA技术在电子信息、通信、自动控制及计算机应用等领域的重要性日益突出。这些技术的使用使得现代电子产品的体积减小、性能增强、集成化程度提高,与此同时其可编程能力也得以提高。在使用EDA进行电子设计时,

12、设计人员可按照“自顶向下”的设计方法,对整个系统进行方案设计和功能划分,采用硬件描述语言(DHL)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件。VHDL语言是EDA设计中常用的一种IEEE标准语言,其具有覆盖面广、描述能力强、可读性好、支持大规模设计及逻辑单元利用等优点,因此受到越来越多的电子工程师的青睐。本次设计采用EDA技术中的模块化设计思想,就IIR数字滤波器中的一些关键电路进行设计,主要内容包括:时序控制模块、延时模块、补码乘加模块、累加模块和IIR数字滤波器的顶层设计。分别对各模块采用VHDL进行描述后,进行了仿真和综合,取得了较好的设计效果。2 选题背景2.1课题来

13、源有教师提供课题。2.2课题的目的和意义电子技术中全新的数字信号处理技术已经替代了传统的信号处理技术,实现了模拟技术向数字技术的过度,由于DSP的运用是的越来越多烦人高性能电子产品得以实现。随着信息技术的不断发展,新的信号处理的理论和技术不断涌现,信息科学和技术研究的核心内容重要是信号的获取、传输和处理、识别及综合等,那么数字信号处理就成为一门及其重要的学科和技术,它被应用到了很多领域,如通信、语音、图像、自动控制、雷达、军事、航空航天、医疗等。数字信号处理不仅实现了高速度,而且具有较强的灵活性,提高了设备的实用性,降低了成本,缩短了开发时间。现场可编程门阵列FPGA是1985年Xilinx公

14、司推出的,与复杂可编程器件(CPLD)相比,FPGA的结构与掩膜可编程门阵列(MPGA),由许多独立的可编程模块组成,通过编程将多个模块连接起来实现不同的设计。FPGA技术具有现场可编程、现场修改、现场验证、现场实现的应用优势,FPGA具备了MPGA和CPLD两者的优点,具有更强的逻辑实现能力、更高的集成度和更好的设计灵活性。数字信号处理技术中最重要的是数字滤波器,数字滤波器是一个离散系统,该系统能对输入的离散信号进行处理,通过一定的运算关系改变输入信号的频率成分的相对比例或是滤除某些频率成分,提取和加强信号中的有用成分,消弱无用的干扰成分,从而获取所需的有用信息。与模拟滤波器相比,数字滤波器

15、具有精度高、可靠性高、灵活性好、易于大规模集成等特点。数字滤波器主要有有限冲击响应数字滤波器(Finite Impulse Response,FIR)和无限冲击响应数字滤波器(Infinite Impuse Response,IIR)两种。与FIR滤波器相比,在相同指标下IIR滤波器能用较低的阶数满足较高的要求,主要原因是IIR滤波器具有反馈系统,并且能够更好的通带和阻带衰减特性。用FPGA来实现IIR滤波器是一种新的解决方案,EDA技术和DSP技术是现代电子技术发展的产物,对于数字信号处理是具有领先和实际意义,在传统的DSP技术存在的诸多技术瓶颈问题,它都能克服,在许多方面显示出很多优势,如

16、高可靠性,高速与实时性,自主知识产权化,尤其系统的重配置与硬件重构性,单片DSP系统的可实现性以及开发技术的标准化和高效率等。IIR数字滤波器在信号处理中有着广泛的应用,基于FPGA的IIR数字滤波器体现电子系统的微型化和单片化,将数字信号处理与FPGA结合,无论在理论研究上还在通讯、HDTV(高清晰度电视)、雷达、图像处理数字音频等实际应用上都有着美好的技术前景和巨大的实用价值。3 IIR数字滤波器及其硬件实现方法3.1 IIR数字滤波器概念数字滤波器是完成信号滤波处理功能的,用有限精度算法实现的离散时间线性非时变系统。输入一组数字量,通过运算输出的是另一组数字量。数字滤波器具有稳定性好、精

17、度高、灵活性大等突出优点。随着数字技术的发展,用数字技术设计滤波器的功能越来越受到人们的注意和广泛的应用。3.1.1 IIR数字滤波器的原理一个数字滤波器的系统函数可以表示为:= (3.1.1)直接由得出表示输入输出关系的常系数线性差分方程为:y(n)= (3.1.2)式中、为滤波系数,当均为零时,该滤波器为FIR数字滤波器,当不均为零时,则为IIR数字滤波器。与FIR数字滤波器相比,IIR数字滤波器可以用较低的阶数获得高的选择性,所用的存储单元少,成本低、信号延迟小,并且IIR数字滤波器可以借助于模拟滤波器的设计成果,设计工作量相对较小,为此,本文就IIR数字滤波器进行相关讨论。3.1.2

18、IIR数字滤波器的基本结构IIR数字滤波器有直接型、级联型和并联型三种基本结构。由IIR数字滤波器的阶差分方程(3.1.2)式可知,设=2,则网络结构如图3-1所示。x(n)a0b1a2b0a1y(n)z-1z-1图3-1 直接型结构 3.1.3 IIR数字滤波器的设计方法IIR数字滤波器的设计方法通常有模拟转换法、零极点累试法和优化设计法。(1)IIR数字滤波器的模拟转换设计法利用模拟滤波器成熟的理论和设计方法来设计IIR数字滤波器是经常使用的方法。设计过程是:按照技术要求设计一个模拟滤波器,得到滤波器的传输函数,再按一定的转换关系将转换成数字滤波器的系统函数。将传输函数从s平面转移到z平面

19、的方法有多种,但工程上常用的是脉冲响应不变法和双线性变换法。(2) IIR数字滤波器的零极点累试法上述介绍的模拟转换设计法实际上是数字滤波器的一种间接设计方法,而且幅度特性受到所选模拟滤波器特性的限制。例如巴特沃斯低通幅度特性是单调下降,而切比雪夫低通特性带内或带外有上、下波动等,对于要求任意幅度特性的滤波器,则不适合采用这种方法。下述介绍的在数字域直接设计IIR数字滤波器的设计方法,其特点是适合设计任意幅度特性的滤波器。在IIR数字滤波器的直接设计法中零极点累试法较为常用,设单位脉冲响应的零极点表达式为:H(z)=A (3.1.3)按照(3.1.3)式,系统特性取决于系统零极点的分布,通过分

20、析,我们知道系统极点位置主要影响系统幅度特性峰值位置及其尖锐程度,零点位置主要影响系统幅度特性的谷值位置及其凹下的程度;且通过零极点分析的几何作图法可以定性地画出其幅度特性。上面的结论及方法提供了一种直接设计滤波器的方法。这种设计方法是根据其幅度特性先确定零极点位置,再按照确定的零极点写出其系统函数,画出其幅度特性,并与希望的进行比较,如不满足要求。可通过移动零极点位置或增加(减少)零极点,进行修正。3.优化设计法IIR 数字滤波器除模拟转换设计法和零极点累试法外,还有一种直接在频域或者时域中进行设计,联立方程后需要计算机作辅助运算的方法,即所谓的优化设计法。3.2 IIR数字滤波器的硬件实现

21、方案滤波器的实现主要包括两大类:DSPTMS320系列芯片的实现和ISP器件的实现(主要包括FPGA和CPLD)。其中利用DSPTMS320系列芯片实现滤波时速度较慢,而利用ISP器件实现时,其运算速度比DSP器件要快好多倍。FPGA的实现包括其自带的核的实现方法和自编程实现方法。核的实现方法虽然好用并且结构缜密,但一般情况下使用的权限都会受到注册购买的限制,因此基于FPGA的自编程实现方法成了滤波器实现的首选。以下简要介绍IIR数字滤波器的设计方案和基于FPGA的实现方法。(1)方案一:直接相乘累加式对于二阶的IIR数字滤波器,其传递函数为: (3.2.1)滤波器信号流图见图2-1,在第n时

22、刻,是当时的输入样本;是n时刻的IIR滤波器的输出: (3.2.2)因此,可以用硬件乘法器和硬件加法器来实现乘法和加法。由式(3.2.2)可以看出,按照这种设计方法,要用到5个乘法器和6个加法器。对于FPGA的设计来说,这种方法的缺点是比较耗费资源。(2)方案二:基于ROM查表法的VHDL结构化设计采用ROM查表的方法,主要是为了避免使用硬件乘法器。二阶IIR的一般表示形式为: (3.2.3)其中是输入序列,是输出序列,和是系数。假设输入序列为位2的补码,并以定点表示,并1,对于可以表示为: (3.2.4)式中:表示的第位,上标为0的是符号位,因此可以定义一个5bit为变量的函数为: (3.2

23、.5)由此可以得到:- (3.2.6)由于函数仅有32种可能取值,因此可以设计一个32*b位的ROM构成的如图3-2描述的基于ROM的实现结构。数据输入串行移入SR1和SR2,由抽头处得到,每计算出一个值后,便并行加载到SR3中,然后串行移入SR4,并在抽头处得到和。ROM的输入地址由组成。按此方法设计的优点是避免了占主要运算量的乘法运算,节省了FPGA的硬件资源,缺点与FIR滤波器利用ROM方法设计相同,即使用不够灵活。当系数发生变化时,更改ROM内的数据十分不便,特别是当阶数比较大时,ROM内的数据较多,程序外的运算量也很大,修改数据更为不便。 图3-2 ROM查表法实现框图(3)方案三:

24、基于ROM查表法的改进型设计此方法结合了直接相乘累加式和ROM查表法的优点,使得设计灵活,设计周期短,节省资源.二阶IIR的一般表示形式为: (3.2.6)这里是输入序列,是输出序列,和是系数。设输入序列为位2的补码,并以定点表示,并1,对于可以表示为: (3.2.7)式中:表示的第位,上标为0的是符号位,因此可以定义一个5bit为变量的函数为: (3.2.8)同理可得: (3.2.9)由此可以得到: (3.2.10)令,可以推出: (3.2.11)从式(3.2.11)中可以看出,可以用一个五路8位*1位乘法器在8个时钟周期内实现上述算式。其加法可以直接调用软件的库实现。本方案实现结构如图3-

25、3所示。X(n)X(n-1)X(n-2)X(n-1)X(n-2)输入b0kb1ka0ka2ka1k输出Y(n)累 加 器 图3-3 改进型实现框图图2-3中的作为FPGA接口上的A/D器件的转换数据输入寄存器,各寄存器内的数据与各自的系数的最高位相乘后,送入累加器相加,并且其和向左移一位,以实现乘2运算。下一个时钟,寄存器内数据与其系数的次高位相乘,再送入累加器与其数据相加,再左移一位。接下的6个时钟进行类似的操作。第8个时钟后,累加器将其数据输出,即,并对累加器清零,同时将寄存器数据送入寄存器,将寄存器数据送入寄存器,同理,。接着再进行下一次运算。对上述三种方法相比较而言,方案三实现较为方便

26、简洁,在节省了FPGA硬件资源的同时,使得设计灵活,设计周期大为缩短,故本设计在方案三为基础上作改进后,来实现IIR数字滤波器的。4 EDA技术和可编程逻辑器件4.1 电子设计自动化EDA技术EDA是Electronic Design Automation的缩写,意为电子设计自动化,即利用计算机自动完成电子系统的设计。回顾近30年的电子设计技术的发展历程,可将EDA技术分为三个阶段:七十年代为CAD(Computer Aide Design)阶段。这个阶段主要分别研制了一个个单独的软件工具,主要有电路模拟、逻辑模拟、版图编辑、PCB布局布线等,通过计算机的使用,从而可以把设计人员从大量繁琐、重

27、复的计算和绘图工作中解脱出来。八十年代为CAE阶段。这个阶段在集成电路与电子系统方法学,以及设计工具集成方面取得了众多成果,与CAD相比,除了纯粹的图形绘制功能外,又增加了电路功能设计和结构设计,并且通过电气连接网络表将两者结合在一起,实现了工程设计。九十年代为EDA阶段,尽管CAD/CAE技术取得了巨大的成功,但在整个设计过程中,自动化和智能化程度还不高,各种EDA软件界面千差万别,学习实用困难直接影响到设计环节间的衔接。基于以上不足,人们开始追求贯穿整个设计过程的自动化,即电子系统设计自动化。4.2 可编程逻辑器件4.2.1可编程逻辑器件简介可编程阵列逻辑器件PAL(Programmabl

28、e Array Logic)和通用阵列逻辑器件GAL(Generic Array Logic)都属于简单PLD。随着技术的发展,简单PLD在集成度和性能方面的局限性也暴露出来。其寄存器、I/O引脚、时钟资源的数目有限,没有内部互连,因此包括复杂可编程逻辑器件CPLD(Complex PLD)和现场可编程门阵列器件FPGA(Field Programmable Gate Array)在内的复杂PLD迅速发展起来,并向着高密度、高速度、低功耗以及结构体系更灵活、适用范围更广阔的方向发展。FPGA具备阵列型PLD的特点,结构又类似掩膜可编程门阵列,因而具有更高的集成度和更强大的逻辑实现功能,使设计变

29、得更加灵活和易实现。相对于 CPLD,它还可以将配置数据存储在片外的EPROM或者计算机上,设计人员可以控制加载过程,在现场修改器件的逻辑功能,即所谓的现场可编程。所以FPGA得到了更普遍的应用。4.2.2使用FPGA器件进行开发的优点使用FPGA器件设计数字电路,不仅可以简化设计过程,而且可以降低整个系统的体积和成本,增加系统的可靠性。使用FPGA器件设计数字系统电路的主要优点如下:(1)增大功能密集度功能密集度是指在给定的空间能集成的逻辑功能数量。可编程逻辑芯片内的组件门数高,一片FPGA可代替几十片乃至上百片中小规模的数字集成电路芯片。用FPGA实现数字系统时用的芯片数量少,从而减少印刷

30、板面积和数目,最终导致系统规模的减小。(2)缩短设计周期由于FPGA器件集成度高,使用时印刷线路板电路布局布线简单。FPGA器件的可编程性和灵活性,决定了用它设计一个系统所需时间比传统方法大为缩短。(3)工作速度快FPGA/CPLD器件的工作速度快,一般可以达到几百兆赫兹,远远大于DSP器件。使用FPGA器件后实现系统所需要的电路级数少,因而整个系统的工作速度会得到提高。4.2.3 FPGA设计的开发流程设计开始需利用EDA工具的文本或图形编辑器将设计者的设计意图用文本方式(如VHDL程序)或图形方式(原理图、状态图等)表达出来。完成设计描述后即可通过编译器进行排错编译,变成特定的文本格式,为

31、下一步的综合做准备。编译形成标准VHDL文件后,在综合前即可以对所描述的内容进行功能仿真,又可称为前仿真。由于此时的仿真只是根据VHDL的语义进行的,与具体电路没有关系,仿真过程不涉及具体器件的硬件特性,如延迟特性。设计的第三步是综合,将软件设计与硬件的可实现性挂钩,这是软件化为硬电路的关键步骤。综合后,可生成VHDL网表文件,利用网表文件进行综合后仿真。综合通过后必须利用FPGA布局/布线适配器将综合后的网表文件针对某一具体的目标器件进行逻辑映射操作,这个过程叫做实现过程。布局布线后应进行时序仿真。时序仿真中应将布局布线后的时延文件反标到设计中,使仿真既包含门时延,又包含线时延的信息。与前面

32、各种仿真相比,这种仿真包含的时延信息最为全面、准确,能较好地反映芯片的实际工作情况。如果以上的所有过程,都没有发现问题,即满足原设计要求,就可以将适配器产生的配置/下载文件通过编程器或下载电缆载入目标芯片中。4.3 硬件描述语言VHDL及数字系统设计方法4.3.1 硬件描述语言VHDL简介硬件描述语言(Very High Speed Integrated Circuit Hardware Description Language, VHDL)是一种用于设计硬件电子系统的计算机语言,包括系统行为级、寄存器传输级和逻辑门级多个设计层次,支持结构、数据流、行为三种描述形式的混合描述,因此VHDL几乎

33、覆盖了以往各种硬件描述语言的功能。它主要用于描述数字系统的结构、行为、功能和接口,非常适用于可编程逻辑芯片的应用设计。与其它的HDL相比,VHDL具有更强大的行为描述能力,从而决定了它成为系统设计领域最佳的硬件描述语言。4.3.2利用VHDL设计数字系统利用VHDL语言设计数字系统硬件电路,与传统的设计方法相比,具有以下优点:(1)采用自顶向下(TOP-DOWN)的设计方法。自顶向下是指从系统总体要求出发,在顶层进行功能方框图的划分和结构设计。由于设计的主要仿真和调试过程在高层次上完成,这一方面有利于提高了设计的效率。(2)降低了硬件电路的设计难度。在使用VHDL语言设计硬件电路时,可以免除编

34、写逻辑表达式或真值表的过程,使得设计难度大大下降,从而也缩短了设计周期。(3)主要设计文件是用VHDL语言编写的源程序。在传统的硬件电路设计中,最后形成的主要文件是电路原理图,而采用VHDL语言设计系统硬件电路时主要的设计文件是VHDL语言编写的源程序。(4)方便ASIC移植。VHDL语言的效率之一,就是如果你的设计是被综合到一个FPGA或CPLD的话,则可以使你设计的产品以最快的速度上市。当产品的产量达到相当的数量时,采用VHDL进行的设计很容易转换成专用集成电路来实现。所以本次设计采用利用VHDL语言的数字系统设计方法。5 IIR数字滤波器的设计与仿真结果分析5.1 各模块的设计与仿真结果

35、分析本课题在实现方案三的基础上,将IIR滤波器的硬件系统分为四个模块:时序控制、延时、补码乘加和累加模块。以下就各个模块的实现及仿真作简要的分析。5.1.1 时序控制模块的设计与仿真结果分析时序控制模块主要用来产生对其它模块的时序控制信号。模块的符号如图5-1(a)所示,输入信号CLK是时钟信号,RES是复位信号,CLK_REG及CLK_REGBT是输出信号。图5-1(a) 时序控制模块图图5-1(b) 时序控制模块仿真图时序控制模块仿真如图5-2(b)所示,其中counter为程序内部计数信号,在clk的上升延到来时,counter以6个时钟为周期开始进行计数,clk_regbt每隔6个时钟

36、输出一个低电平,clk_reg则每隔6个时钟后输出一个高电平。输出的两个时钟信号正好控制延时模块、补码乘加模块和累加模块的模块的运行。符合设计要求。时序控制模块程序见附录。5.1.2 延时模块的设计与仿真结果分析延时模块的符号如图5-2(a)所示,其主要作用是在clk时钟作用下将差分方程的各、值延迟一个时钟,以实现一次延时运算,即当输入为xn和yn时,经过一次延时后其输出分别为x(n-1)和y(n-1).其中yout是反馈输入信号,xn是输入信号。图5-2(a) 延时模块图图5-2(b) 延时模块仿真图延时模块仿真图如图5-2(b)所示,由图5-4可以看出当输入的xn、yout都为时,在时钟信

37、号上升沿的作用下产生延时,经第一个时钟后x0、x1、x2、y0、y1的值分别为1,0,0,1,0。经第二个时钟后x0、x1、x2、y0、y1的值分别为2,1,0,2,1。经第三个时钟后x0、x1、x2、y0、y1的值分别为3,2,1,3,2。经第四个时钟后由此可见该模块仿真值正确。延时模块程序见附录。5.1.3 补码乘加模块的设计与仿真结果分析补码乘加模块主要用来实现输入序列、与系数、分别相乘后再相加的过程。即实现的算法。为了避免过多地使用乘法器,本设计中乘加单元(MAC)的乘法器采用阵列乘法器,以提高运算速度。由于Quartus 的LPM库中乘法运算为无符号数的阵列乘法,所以使用时需要先将两

38、个补码乘数转换为无符号数相乘后,再将乘积转换为补码乘积输出。每个二阶节完成一次运算共需要6个时钟周期,而且需采用各自独立的MAC实现两级流水线结构,即每个数据经过两个二阶节输出只需要6个时钟周期。模块的符号如图5-3(a)所示。图5-3(a) 补码乘加模块图图5-3(b) 补码乘加模块仿真图补码乘加模块仿真图如图3-5所示,clk_regbt及clk_reg为输入时钟,由时序控制模块提供。、为系数,x0、x1、x2、y0、y1为输入信号,yout为输出信号,图5-3(b) 中75为x0、x1、x2、y0、y1的值15和系数、相乘后再相加的结果,完成了补码乘加的功能。补码乘加模块程序见附录。5.

39、1.4 累加模块的设计与仿真结果分析补码乘加模块所输出的信号送入累加器后,与寄存于累加器中的上一步计算的中间结果相加,最后将此步的计算结果经由输出引脚输出,所得信号即为最终结果。 即该模块主要实现youtput=yout+youtput(n-1)的算法,模块的符号如图5-4(a)所示。图5-4(a) 累加模块图图5-4(b) 累加模块仿真图累加模块仿真图如图5-4(b),其中res为复位信号,clk为时钟信号,yout为输入信号,由补码乘加模块的输出信号yout提供,由图中可以看出当输入信号为yout=,输出为youtput=,实现了累加的功能。符合设计要求。累加模块程序见附录1。5.1.5

40、顶层模块设计顶层模块设计采用了原理图输入方法,原理图输入方式非常直观,便于信号观察和电路的调节。图5-5 顶层模块图本课题设计的顶层文件名为iir.gdf,设计生成的逻辑符号如图5-5所示。顶层模块设计程序见附录。5.2 IIR数字滤波器的仿真与结果分析5.2.1 IIR数字滤波器的系统设计IIR数字滤波器顶层原理图如图5-6所示。为了便于理解整个系统的设计,现将系统的运行过程进行说明:图5-6 IIR数字滤波器顶层原理图系统先开始处于初始状态,当清零信号为“1”时,对整个系统进行清零。在清零信号为“0”的前提,时序控制模块在时钟clk上升沿的作用下产生两个信号CLK_REG及CLK_REGB

41、T,其中CLK_REG信号用来作为延时模块、补码乘加模块和累加模块的输入时钟,CLK_REGBT每隔6个时钟产生一个高电平作为这三个模块的复位信号。延时模块在接收到CLK_REG高电平信号时清零输出端,接收到低电平时,在CLK_REGBT上升沿的作用下对输入信号进行延时,以实现一次延时运算,而后将延时信号输出,送给补码乘加模块。补码乘加模块在接收延时信号的同时也接收读者输入的系数信号,在CLK_REGBT上升沿的作用下实现系数和延时信号的补码乘加运算,而此步骤需要6个时钟来完成,正好与时序控制模块的输出信号CLK_REGBT相一致。补码乘加模块的输出一部分送入延时模块以实现信号的反馈,另一部分

42、则送入到累加模块,在累加模块中进行结果累加后输出,得到最终结果。5.2.2 IIR数字滤波器的系统仿真与结果分析在各模块编译通过后将各模块进行了综合,针对不同的输入信号和不同的输入系数对IIR数字滤波器进行了仿真,仿真波形如图5-7所示。并将仿真值和计算值进行了比较,如表5.2.1中所示。(a)(b)(c)图5-7 IIR数字滤波器仿真图表5.2.1 滤波后输出的数据输入数据Xn=0,1,2,3,4,5;a0=a1=a2=b0=b1=1计算值01411244780仿真值01411254369输入数据Xn=0,1,0,1,0,1;a0=a1=a2=b0=b1=1计算值012581524仿真值01

43、2591523输入数据Xn=0,1,0,1,0,1;a0=2,a1=a2=b0=b1=1计算值0238142234仿真值0249172741由表5.2.1可见,仿真值结果正确,只是与真值之间存在一定的误差,仿真值越大时误差越大,这是由于有限精度算法所引起的误差,经累加器累加后使得误差变得越来越大,要解决这一问题可以通过增加二进制位数来提高系统的运算精度。5.2.3 高阶IIR数字滤波器的实现要实现一个高阶IIR数字滤波器,如果采用直接型结构实现,需用的乘法器和延迟单元相对较多,而且分子和分母的系数相差较大,需要较多的二进制位数才能实现相应的精度要求。如果采用二阶节级联实现,一方面各基本节的零点

44、、极点可以很方便地单独进行调整,另一方面可以降低对二进制数位数的要求。以实现一个四阶IIR数字滤波器为例,可以通过两个二阶IIR数字滤波器级联的方式来实现较为简洁。图5-8给出了一个四阶IIR数字滤波器实现的原理图5,具体的工作原理与二阶IIR数字滤波器类似,在此本节即不再细述。图5-8 四阶IIR数字滤波器的顶层原理当然,更高阶的IIR数字滤波器的实现方法与四阶滤波器的实现方法类似,只需将多个二阶IIR数字滤波器进行级联,即可实现。参考文献1 刘凌,胡永生译数字信号处理的FPGA实现M北京:清华大学出版社20032 丁玉美,高西全数字信号处理M西安:西安电子科技大学出版社20043 潘松,王

45、国栋VHDL实用教程M成都:电子科技大学出版社2001.4 倪向东基于FPGA的四阶R数字滤波器J电子技术应用,2003.5 陈后金.数字信号处理M.北京:高等教育出版社.2004.6 罗苑棠.CPLD/FPGA常用模块与综合系统设计.电子工业出版社2007.7 王国强.EDA技术与应用.电子工业出版社.8 郑君里.信号与系统M北京:高等教育出版社.2000.9 凌燮亭,秦巍,胡波.对数域积分电路的分析与应用J.北京:电子学报,2000,28(2):46-48.10 蔡理,马西奎.一种基于平衡式对数域积分器的高阶滤波器J.南京:固体电子学研究与进展,2002,22(1):68-71.11 赵录怀.高阶对数域滤波器设计的一种新方法J.北京:电工技术学报,1999,14(5):27-30.12 姚若河,彭亮,石磊.IIR数字滤波器的FPGA的实现J.中国集成电路,2005,76(9):54-72.13 基于CORDIC算法的IIR数字滤波器硬件设计J.集成电路应用,2006,(1)28-32.14 一种高效IIR滤波器的结构设计及其FPGA实现J.河南大学常州分校学报,2005,19(9):

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 小学资料

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com