数字电子技术基础 chapt03.ppt

上传人:小****库 文档编号:3814000 上传时间:2020-10-28 格式:PPT 页数:61 大小:1.04MB
返回 下载 相关 举报
数字电子技术基础 chapt03.ppt_第1页
第1页 / 共61页
数字电子技术基础 chapt03.ppt_第2页
第2页 / 共61页
亲,该文档总共61页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《数字电子技术基础 chapt03.ppt》由会员分享,可在线阅读,更多相关《数字电子技术基础 chapt03.ppt(61页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、3.1 概述 一、定义,电路任意时刻的输出信号仅取决于该时刻的输入信号,与输入信号作用前电路的状态无关。,输入逻辑变量,输出逻辑变量,第三章 组合逻辑电路,Y1=f1(a1,a2an) Y2=f2(a1,a2an) . Ym=fm(a1,a2an),Y=F(A),二、逻辑功能的描述,3.1 概述,3.2 组合逻辑电路的分析方法,通过分析找出电路的逻辑功能,已知逻辑电路,列出真值表 或功能表,适当的化简 与变换,写出逻辑表达式,分析其逻辑功能,3.2 组合逻辑电路的分析方法(1),例1:试分析下列组合逻辑电路的功能:,2、列真值表,3、分析逻辑功能 奇偶校验器:A、B、C中有奇数个“1”,输出为

2、“1”;A、B、C中有偶数个“1”,输出为“0”;,0,1,1,0,1,0,0,1,3.2 组合逻辑电路的分析方法(2),BCD码奇偶形成器及奇偶校验器,发 送 器,接 送 器,B0,B3,B2,B1,E,P,3.2 组合逻辑电路的分析方法(3),例2:试分析下列组合逻辑电路的功能:,3.2 组合逻辑电路的分析方法(4),1 ,0,0 0 0,0 0 1,0 1 0,0 1 1,D0,D1,D2,D3,3.2 组合逻辑电路的分析方法(5),四选一数据选择器,Y,A1,A0,D0,D1,D2,D3,数据输出端,地址输入端,数据输入端,选通端、使能端控制端:低电平有效,3.2 组合逻辑电路的分析方

3、法(6),八选一数据选择器,Y,A1,A0,D0,D1,D2,D3,D4,D5,D6,D7,A2,3.2 组合逻辑电路的分析方法(7),八选一数据选择器 功能表,3.2 组合逻辑电路的分析方法(8),3.3 组合逻辑电路的设计(综合),按照给定具体的逻辑问题设计出最简单的逻辑电路,逻辑抽象,适当的化简 与变换,写出逻辑 表达式,列出真值表 或功能表,选择合适的 器件实现,画出其 电路图,1、确定输入、输出逻辑变量的个数 2、确定输入、输出逻辑变量的含义 3、根据逻辑关系列出真值表或功能表,1、SSI的设计 2、MSI的设计 3、PLD的设计,3.3 组合逻辑电路的设计(1),例1:试设计一个多

4、数表决电路(三人表决电路),输入逻辑变量 A、B、C 1 : 同意 0: 不同意,输出逻辑变量Y: 1 : 通过 0 :不通过,1、逻辑抽象,2、列出真值表,3、写出逻辑表达式,0,0,0,1,0,1,1,1,3.3 组合逻辑电路的设计(2),4、适当的化简与变换,=AB+AC+BC,3.3 组合逻辑电路的设计(3),1)SSI实现(与非门):,5、选择合适的器件实现,画出电路图,Z=AB+AC+BC,&,&,&,&,A,B,C,Z,3.3 组合逻辑电路的设计(4),2)MSI实现(四选一数据选择器),令 =0,令A1=A,A2=B,Y=Z,可得:D0=0,D1=D2=C,D3=1,3.3 组

5、合逻辑电路的设计(5),四选一数据选择器,Y,A1,A0,D0,D1,D2,D3,Z,B,C,A,1,3.3 组合逻辑电路的设计(6),3.4 若干常用的组合逻辑电路,制成标准化的中规模集成电路产品,编码器、译码器、数据选择器、数值比较器、加法器、函数发生器、奇偶校验器,3.4.1 编码器,将不同的事物用二进制代码表示的电路,一、普通编码器,I0,8线3线编码器,I1,I2,I3,I4,I5,I6,Y2,I7,Y1,Y0,3.4 若干常用的组合逻辑电路(1),8线3线编码器功能表,Y2=I4+I5+I6+I7,Y1=I2+I3+I6+I7,Y0=I1+I3+I5+I7,0 0 0,0 0 1,

6、0 1 0,0 1 1,1 0 0,1 0 1,1 1 0,1 1 1,3.4 若干常用的组合逻辑电路(2),Y2=I4+I5+I6+I7,Y1=I2+I3+I6+I7,Y0=I1+I3+I5+I7,1,1,1,3.4 若干常用的组合逻辑电路(3),8线3线优先编码器功能表,二、优先编码器,3.4 若干常用的组合逻辑电路(4),三、功能扩展,S为选通端:S=0,编码器禁止工作;S=1编码器正常工作,YS为无编码信号输入端: YS=0,有编码信号输入; YS =1,无编码信号输入,YEX为编码输出信号有效端: YEX =1,编码输出信号有效; YEX =0,编码输出信号无效,3.4 若干常用的组

7、合逻辑电路(5),四、中规模集成编码器 1、8线3线优先编码器(74LS148)P108,8线3线优先编码器 74LS148,1 1 0 0 1 1 1 1 0,1 0 0 0 1,0 0 1 1 0 0 0 1 0,0 0 1 0 1,0 0 0 1 0 1 1 1 1,1 1 1 1 1,1 1 1 1 1 1 1 1 0,1 1 1 1 0,3.4 若干常用的组合逻辑电路(6),2、二十进制优先编码器(74LS147)P110,3.4 若干常用的组合逻辑电路(7),3.4.2 译码器,将二进制代码译成对应的输出的高、低电平信号的电路 ;是编码的反操作,一、二进制译码器,二进制译码器 二十

8、进制译码器 显示译码器,1、原理图,3.4 若干常用的组合逻辑电路(8),3线8线译码器真值表,电路图如P111,3.4 若干常用的组合逻辑电路(9),&,&,1,A2,A1,A0,S1,否则,,3.4 若干常用的组合逻辑电路(10),A0,3线8线译码器,A1,A2,2、中规模集成3线8线译码器(74LS138),S1,1 1 0 1 1 1,1 1 1 1 1 1 1 1,1 1 0 1 0 1,1 1 1 1 1 1 1 1,1 1 0 1 0 0,1 0 1 1 1 1 1 1,0 1 0 1 0 0,1 1 1 1 1 0 1 1,0 1 1 0 0 0,1 1 1 1 1 1 1

9、1,3.4 若干常用的组合逻辑电路(11),3、译码器设计组合逻辑函数,推论1:3线8线译码器辅以适当门电路可实现任何三变量的多输出逻辑函数,推论2:n线2n线译码器辅以适当门电路可实现任何n变量的多输出逻辑函数,3.4 若干常用的组合逻辑电路(12),例如:试利用3线8线译码器74LS138设计多输出的组合逻辑函数,3.4 若干常用的组合逻辑电路(13),A0,3线8线译码器,A1,A2,S1,A,B,C,1,&,&,Z1,Z2,3.4 若干常用的组合逻辑电路(14),二十进制译码器,A0,A1,A2,二、中规模集成二十进制译码器(74LS42),A3,3.4 若干常用的组合逻辑电路(15)

10、,三、显示译码器,1、七段字符显示器 1)发光二极管(LED),a,b,c,d,e,f,g,D.P,3.4 若干常用的组合逻辑电路(16),共阴极驱动:,0,1,3.4 若干常用的组合逻辑电路(17),共阳极驱动:,0,1,3.4 若干常用的组合逻辑电路(18),3.4 若干常用的组合逻辑电路(19),2)液晶显示器(LCD),a,b,c,d,e,f,g,2、BCD七段显示译码器,BCD 七 段 显 示 译 码 器,A3,A1,A2,A0,a,b,c,d,e,f,g,0 0 0 0,0 0 0 1,0 0 1 0,0 0 1 1,3.4 若干常用的组合逻辑电路(20),BCD七段显示译码器真值

11、表,电路图如P118,3.4 若干常用的组合逻辑电路(21),3、中规模集成BCD七段显示译码器(74LS48),A0,BCD七段显示译码器,A1,A2,Ye,Yd,Yc,Yb,Yf,Ya,Yg,A3,试灯端:为“0”时,显示器全亮,显示 “8”,灭零输入端:为“0”时且A3A2A1A0=0000,显示器全灭,不显示 “0”,灭灯输入端:为“0”时 显示器全灭,灭零输出端:灭零输入端为“0”时且A3A2A1A0=0000,输出为“0”,3.4 若干常用的组合逻辑电路(22),4、74LS48的应用,3.4 若干常用的组合逻辑电路(23),3.4.3 加法器,二进制之间的算术运算都是化做若干步加

12、法运算进行,因此加法器是构成算术运算器(AU)的基本单元,一、1位加法器,1、半加器,不考虑来自低位的进位将两个二进制相加,HA,A,B,S,CO,0 0,1 0,1 0,0 1,CO=AB,3.4 若干常用的组合逻辑电路(24),CO=AB,=1,&,A,B,S,CO,HA,3.4 若干常用的组合逻辑电路(25),2、全加器,考虑来自低位的进位,将两个二进制数和来自低位的进位3个数相加,FA,A,B,S,CO,0 0,1 0,1 0,0 1,CI,1 0,0 1,0 1,1 1,3.4 若干常用的组合逻辑电路(26),S,0,A,10,00,11,01,BCI,1,1,1,1,1,0,0,0

13、,0,3.4 若干常用的组合逻辑电路(27),CO,0,A,10,00,11,01,BCI,1,1,1,1,1,0,0,0,0,=AB+ACI+BCI,3.4 若干常用的组合逻辑电路(28),3.4 若干常用的组合逻辑电路(29),二、多位加法器,1、串行进位加法器,A3A2A1A0+B3B2B1B0=S3S2S1S0,3.4 若干常用的组合逻辑电路(30),2、超前进位加法器,Si直接由Ai与Bi构成,不由各进位信号产生,可提高运算速度。,3.4 若干常用的组合逻辑电路(31),P124 图3、30,3.4 若干常用的组合逻辑电路(32),三、中规模集成四位超前进位全加器 (74LS283,

14、74LS83),四位超前进位全加器,A3,A2,A1,A0,B3,B2,B1,B0,CI,CO,S3,S2,S1,S0,0 1 0 1 1 0 1 0 0,0 1 1 1 1,0 0 1 1 0 1 1 0 0,0 1 0 0 1,1 1 1 0 0 1 1 1 1,1 1 1 1 0,3.4 若干常用的组合逻辑电路(33),四、中规模集成四位超前进位全加器的应用,1、设计组合逻辑电路,例如、试设计一个代码转换电路,将BCD码转换为余3码。,Y3Y2Y1Y0=DCBA+0011,3.4 若干常用的组合逻辑电路(34),四位超前进位全加器,A3,A2,A1,A0,B3,B2,B1,B0,CI,C

15、O,S3,S2,S1,S0,Y3 Y2 Y1 Y0,D C B A,0 0 1 1 0,3.4 若干常用的组合逻辑电路(35),2、构成全减器,X3X2X1X0-Y3Y2Y1Y0,=X3X2X1X0+-Y3Y2Y1Y0补码,3.4 若干常用的组合逻辑电路(36),四位超前进位全加器,A3,A2,A1,A0,B3,B2,B1,B0,CI,CO,S3,S2,S1,S0,X3 X2 X1X0,T3 T2 T1 T0,Y3Y2Y1Y0,1,1,1,1,1,D,1 0 0 1 0 1 1 1,1 0 0 1 0,0 0 1 1 0 1 1 1,0 1 1 0 0,3.4 若干常用的组合逻辑电路(37),

16、3、构成全加/全减器,U=0,做加法 Bi=Yi,U=1,做减法 Bi=,Ai=Xi,CI=U,Ti=Si,3.4 若干常用的组合逻辑电路(38),四位超前进位全加器,A3,A2,A1,A0,B3,B2,B1,B0,CI,CO,S3,S2,S1,S0,X3 X2 X1X0,T3 T2 T1 T0,Y3Y2Y1Y0,=1,=1,=1,=1,U,D,=1,3.4 若干常用的组合逻辑电路(39),3.4.4 数值比较器,比较两个二进制数的大小的逻辑电路,一、1位数值比较器,0 1 0,0 0 1,1 0 0,0 1 0,比较两个一位二进制数的大小的逻辑电路,=AB,P169图3.3.31,3.4 若

17、干常用的组合逻辑电路(40),3.4 若干常用的组合逻辑电路(41),二、多位数值比较器,A3B3,100,A3=B3,A2B2,100,A3=B3,A2=B2,A1B1,100,A3=B3,A2=B2,A1=B1,A0B0,100,A3=B3,A2=B2,A1=B1,A0=B0,010,A3=B3,A2=B2,A1=B1,A0B0,001,A3=B3,A2=B2,A1B1,001,A3=B3,A2B2,001,A3B3,001,如P126图3、33,3.4 若干常用的组合逻辑电路(42),三、中规模集成四位数值比较器(CC14585),四位数值比较器,A3,A2,A1,A0,B3,B2,B1,B0,Y(AB),Y(A=B),Y(AB),I(AB),I(A=B),I(AB),3.4 若干常用的组合逻辑电路(43),组合逻辑电路的竞争与冒险,74LS148扩展为16线-4线编码器 74LS138扩展为4线16译码器 CC14585扩展为8位数值比较器 试用74LS283设计一个BCD码加法器 试用74LS138设计一位二进制数的全减器 试用双四选一设计一个两位二进制数的全加器,习题课:MSI的应用与扩展,

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 大学资料

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com