四位全加器的VHDL设计.ppt

上传人:小** 文档编号:3746186 上传时间:2020-10-22 格式:PPT 页数:9 大小:161.52KB
返回 下载 相关 举报
四位全加器的VHDL设计.ppt_第1页
第1页 / 共9页
四位全加器的VHDL设计.ppt_第2页
第2页 / 共9页
点击查看更多>>
资源描述

《四位全加器的VHDL设计.ppt》由会员分享,可在线阅读,更多相关《四位全加器的VHDL设计.ppt(9页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、,四位全加器的VHDL设计,一位全加器真值表,一位全加器的逻辑表达式,S=ABCin Co=AB+BCin+ACin 其中A,B为要相加的数,Cin为进位输 入;S为和,Co是进位输出;,Library ieee; Use ieee.std_logic_1164.all; Use ieee.std_logic_unsigned.all; Entity fulladder Is Port(Ci,a,b : IN std_logic; s,Co : OUT std_logic); End fulladder; Architecture m1 Of fulladder Is Signal tmp:

2、std_logic_vector(1 downto 0); Begin tmp=(0 ,一位全加器的数据流(逻辑)描述,Library ieee; Use ieee.std_logic_1164.all; Use ieee.std_logic_unsigned.all; Entity fulladder is Port (A,B,CI:in std_logic; S,CO:out std_logic); End fulladder; Architecture dataflow of fulladder is Begin S= CI xor A xor B; CO= (A and B) or (

3、CI and A) or (CI and B); End dataflow;,一位全加器的行为描述,Library ieee; Use ieee.std_logic_1164.all; Use ieee.std_logic_unsigned.all; Entity fulladder is Port (a,b,cin: In bit; sum,cout: Out bit); End fulladder; Architecture behave Of fulladder Is Begin Process (a, b, cin) Begin If(a Or b Or cin)= 0 Then su

4、m =0; cout =0; Elsif (a AND b AND cin ) =1 Then sum =1; cout =1; Elsif (a XOR b XOR cin ) =0 Then sum =0; cout =1; Else sum =1; cout =0; End If; End Process; End behave;,4位全加器的设计,先设计4个1位的全加器,然后将低位的进位输出与高位的进位输入相连,将要进行加法运算的两个4位数的每一位分别作为每一个1位全加器的输入,进行加法运算,所有的1位全加器的输出组成一个4位数,即输入的两个4位数之和,最高位的全加器产生的进位输出即两

5、个4位数求和的进位输出。(如图),Library ieee; Use ieee.std_logic_1164.all; Use ieee.std_logic_unsigned.all; Entity adder4 Is Port(Cin : IN std_logic; x, y : IN std_logic_vector(3 downto 0); sum : OUT std_logic_vector(3 downto 0); Cout : OUT std_logic); End adder4;,Architecture ax Of adder4 Is Signal c: std_logic_v

6、ector(0 to 4); Component fulladder Port(Ci,a,b : IN std_logic; s, Co : OUT std_logic); End component; Begin c(0)c(0),a=x(0),b=y(0), s=sum(0),Co=c(1); U2:fulladder Port Map(c(1),x(1),y(1),sum(1),c(2); U3:fulladder Port Map(c(2),x(2),y(2),sum(2),c(3); U4:fulladder Port Map(c(3),x(3),y(3),sum(3),c(4);

7、Cout=c(4); End ax;,library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity adder4bit is port(cin: in std_logic; a,b: in std_logic_vector(3 downto 0); s: out std_logic_vector(3 downto 0); cout: out std_logic ); end adder4bit; architecture beh of adder4bit is signal sint: std_logic_vector(4 downto 0); signal aa,bb: std_logic_vector(4 downto 0); begin aa=0 ,

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 教案示例

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com