八路抢答器.docx

上传人:叶*** 文档编号:35558504 上传时间:2022-08-22 格式:DOCX 页数:22 大小:190.98KB
返回 下载 相关 举报
八路抢答器.docx_第1页
第1页 / 共22页
八路抢答器.docx_第2页
第2页 / 共22页
点击查看更多>>
资源描述

《八路抢答器.docx》由会员分享,可在线阅读,更多相关《八路抢答器.docx(22页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、江 西 环 境 工 程 职 业 学 院 高 职 生 毕 业 设 计论 文 题 目:倒计数显示功能的定时开关电路设计学 生: 曾广忠 指 导 教 师: 蔡 燕 专业(班级): 应用电子技术(0410801) 分 院: 信息工程分院 二零壹壹年 六 月 三十 日倒计数显示功能定时开关电路设计摘要随着时代的进步,电子行业的发展,定时器的应用也越来越广泛。但传统的定时器都是使用发条驱动式、电机传动式或电钟式等机械定时器。电子定时器相对产痛定时器来说,体积小、重量轻、造价低、精度高、寿命长、而且安全可靠、调整方便、适于频繁使用。本设计倒计时数显定时器电路以NE555电路组成的多谐振荡器作时基,虽然时间精

2、度不十分高,但经济适用。数字显示式倒计数定时器能够使人们随时看到剩余定时时间的多少,这对某些应用具有一定的实用价值。该设计为一位数的数字显示式定时电路,其结构简单,易于制作,而且时基单位可调(即既可以秒为时基单位,又可以分为时基单位)。全电路由可调式时基信号发生器、减计数与显示器和输出信号控制电路等组成。关键词:电子时钟,数显,定时,NE556,继电器目 录1 绪论.11.1 抢答器的简介.11.2 抢答器的设计意义.11.3 论文工作.12 EDA技术的简介.22.1 EDA技术的发展阶段.22.2 EDA技术的基本特征.32.3 EDA常用软件介绍.3 2.4 EDA技术的基本设计方法.4

3、3 抢答器总体的设计思路.5 3.1 抢答器设计原理与总体方框图.5 3.2 单元电路设计.53.2.1 抢答器电路.53.2.2 定时电路.63.2.3 报警电路.93.2.4 时序控制电路.93.3 电路制作与调试.11 3.4 讨论 .114 结语与展望.125 致谢.13参考文献.141 绪论1.1 抢答器的简介随着我国经济和文化事业的发展,在很多公开竞争场合要求有公正的竞争裁决,因此出现了抢答器。抢答器又称为第一信号鉴别器,其主要应用于各种知识竞赛、文艺活动等场合。抢答器一般是由很多电路组成的,线路复杂,可靠性不高,功能也比较简单,特别是当抢答路数很多时,实现起来就更为困难,而且市面

4、上出售的抢答器不仅价格昂贵,在中小城市也极难买到,这无疑给有意举办智力竞赛的单位带来了很大的不便。目前,传统普通抢答器主要存在以下缺陷:1、在一次抢答过程中,当出现超前违规抢答时,只能处理违规抢答信号,而对没有违规的有效抢答信号不能进行处理,因而使该次抢答过程变为无效。2、当有多个违规抢答时,普通抢答器或采用优先编码电路选择其中一个,或利用抢答电路电子元件的“竞争”选择其中一个。对于后者由于抢答电路制作完毕后电子元件被固定。各路抢答信号的“竞争”能力也被固定,因而本质上也有优先权。普通抢答器存在不公平性。3、当有多个违规抢答时,普通抢答器只能“抓住”其中一个而出现“漏洞”。1.2 抢答器的设计

5、意义抢答器控制系统是工厂、学校和电视台等单位举办各种智力竞赛等娱乐活动中经常使用的重要基础设备之一。目前设计抢答器的方法很多, 例如用传统的PCB 板设计、用PIC 设计或者用单片机设计。而用EDA 可以更加快速、灵活地设计出符合各种要求的抢答器, 优于其他设计方法, 使设计过程达到高度自动化。本次毕业设计是以实际动手研究为主线,以科学研究所研究到的所应用的的实际技术为主要内容,培养掌握电子技术的科学规律、技术,测量技术等研究方法使其具有独立动手研究的能力,以便在未来的工作中开拓创新。抢答器是一种能使任何比赛与竞争性的游戏体现公平、公正的电子装备。为了全面运用科学动手研究的技术方法,在加强的直

6、接动手方法的同时,力求在短时间里得以掌握先进科学技术:如本设计中所使用到的multisim9等软件。也培养了理论联系实际、设计电路的能力与正确的处理数据、分析能力。1.3 论文工作作者在设计中,完成了对设计中部分理论的整理和文档的撰写工作。2008年一月份至二月份,作者查找相关资料并进行整理,为设计前期工作做好了充分的准备;2008年三月份,作者根据所获得的资料写出设计开题报告和设计任务书;2008年四月份,作者在所获得的资料下,运用所学专业知识开始着手设计出电路图,并在EDA软件中实现仿真,生成PCB图;2008年五月份,作者根据设计后所得的PCB图并购买所需要的相应元件,在PCB板上安装自

7、己设计的电路;2008年六月份,作者将安装好的电路通电调式并进行总结。最后根据在EDA软件中仿真论证和现实实践中所得的结论进行整理并以论文的形式展现。在设计制作中,针对作者设计的作品对当前社会和学校的作用以与当今市场上相同产品价格和功能的相互性比较,作者以电子技术的科学规律、技术,软件测试技术等研究方法设计的产品不但弥补了功能的不足,而且降低了成本。所提出的新的开发模式,为往后的开发和研究工作提供了一定的参考意义;已完成的设计课件,在教学和学习者的自学中,是一个新的尝试,具有一定的实用价值。2 EDA技术简介电子设计自动化简称EDA,是近二十年来兴起的一门新兴的学科,特别是20世纪90年代以后

8、得到了迅速的发展,目前我国的电子行业中普遍采用EDA技术进行电路设计和印制板制作。在本设计中,采用了EDA技术进行电路的分析、设计和仿真。本章中,概括的介绍了EDA技术的发展阶段、基本特征、EDA常用的工具软件介绍和相应的设计方法。2.1 EDA技术的发展阶段EDA技术伴随着计算机、集成电路、电子系统设计的发展,经历了计算机辅助(Computer-Aided Design,CAD)、计算机辅助工程设计(Computer-Aided Engineering Design,CAED)和电子设计自动化(EDA)3个发展阶段。1. 20世纪70年代的计算机辅助设计(CAD)阶段早期的电子系统硬件设计采

9、用的是分立元件,随着集成电路的出现和应用,硬件设计进入到大量选用中小规模标准集成电路阶段。人们将这些器件焊接在电路板上,做成初级电子系统,对电子系统的调试是在组装好的印刷电路板(Printed Circuit Board,PCB)上进行的。由于设计师对图形符号使用数量有限,传统的手工布图方法无法满足产品复杂性的要求,更不能满足工作效率的要求。这时,人们开始将产品设计过程中高度重复性的繁杂劳动,如布图布线工作,用二维图形编辑与分析的CAD工具替代,最具代表性的产品就是美国Accel公司开发的Tango布线软件。由于PCB布图布线工具受到计算机工作平台的制约,其支持的设计工作有限且性能比较差。20

10、世纪70年代,可以说是EDA技术发展的初期。2. 20世纪80年代的计算机辅助工程设计(CAED)阶段初级阶段的硬件设计是用大量不同型号的标准芯片实现电子系统设计的。随着微电子工艺的发展,相继出现了集成上万只晶体管的微处理器、集成几十万直到上百万储存单弯 的随机存储器和只读存储器。此外,支持定制单元电路设计的硅编辑、掩模编程的门阵列,如标准单元的半定制设计方法以与可编程逻辑器件(PAL器件和GAL器件)等一系列微结构和微电子学的研究成果都为电子系统的设计提供了新天地。因此,可以用少数几种通用的标准芯片实现电子系统的设计。伴随计算机和集成电路的发展,EDA技术进入到计算机辅助工程设计阶段。20世

11、纪80年代初推出的EDA工具则以逻辑模拟、定时分析、故障仿真、自动布局和布线为核心,重点解决电路设计没有完成之前的功能检测等问题。利用这些工具,设计师能在产品制作之前预知产品的功能与性能,能生成产品制造文件,在设计阶段对产品性能的分析前进了一大步。 如果说20世纪70年代的自动布局布线的CAD工具代替了设计工作中绘图的重复劳动,那么,到了20世纪80年代出现的具有自动综合能力的CAED工具则代替了设计师的部分工作,对保证电子系统的设计,制造出最佳的电子产品起着至关重要的作用。到了20世纪80年代后期,EDA工具已经可以进行设计描述、综合与优化和设计结果验证,CAED阶段的EDA工具不仅为成功开

12、发电子产品创造了有利条件,而且为高级设计人员的创造性劳动提供了方便。但是,大部分从原理图出发的EDA工具仍然不能适应复杂电子系统的设计要求,而具体化的元件图形也制约着优化设计。3. 20世纪90年代电子设计自动化(EDA)阶段为了满足千差万别的系统用户提出的设计要求,最好的办法是由用户自己设计芯片,让他们把想设计的电路直接设计在自己的专用芯片上。微电子技术的发展,特别是可编程逻辑器件的发展,使得微电子厂家可以为用户提供各种规模的可编程逻辑器件,使设计者通过设计芯片实现电子系统功能。EDA工具的发展,又为设计师提供了全线EDA工具。这个阶段发展起来的EDA工具,目的是在设计前期将设计师从事的许多

13、高层次设计由工具来完成,如可以将用户要求转换为设计技术规范,有效地处理可用的设计资源与理想的设计目标之间的矛盾,按具体的硬件、软件和算法分解设计等。由于电子技术和EDA工具的发展,设计师可以在不太长的时间内使用EDA工具,通过一些简单标准化的设计过程,利用微电子厂家提供的设计库来完成数万门ASIC和集成系统的设计与验证。 20世纪90年代,设计师逐步从使用硬件转向设计硬件,从单个电子产品开发转向系统级电子产品开发片上系统(System On a Chip,SOC)。因此,EDA工具是以系统级设计为核心,包括系统行为级描述与结构综合,系统仿真与测试验证,系统划分与指标分配,系统决策与文件生成等一

14、整套的电子设计自动化工具。这时的EDA工具不仅具有电子系统设计的能力,而且能提供独立于工艺和厂家的系统级设计能力,具有高级抽象的设计构思手段。例如,提供框图、状态图和流程图的编辑能力,具有适合层次描述和混合信号描述的硬件描述语言(如VHDL、AHDL或Verilog HDL),同时含有各种工艺的标准元件库。只有具备上述功能的EDA工具,才可能使电子系统工程师在不熟悉各种半导体工艺的情况下完成电子系统的设计。12.2 EDA技术的基本特征EDA代表了当今电子设计技术的最新发展方向,它的基本特征是:设计人员按照自顶 向下的设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集

15、成 电路(ASIC)实现,然后采用硬件描述语言(HDL)完成系统行为级设计,最后通过综合器和适配 器生成最终的目标器件。2.3 EDA常用软件介绍EDA工具层出不穷,目前进入我国并具有广泛影响的EDA软件有:multiSIM 9(原EWB的最新版本)、PSPICE、OrCAD、PCAD、Protel、Viewlogic、Mentor、Graphics、Synopsys、LSIIogic、Cadence、MicroSim等等。这些工具都有较强的功能,一般可用于几个方面,例如很多软件都可以进行电路设计与仿真,同进还可以进行PCB自动布局布线,可输出多种网表文件与第三方软件接口。本文介绍的抢答器以E

16、DA 技术作为开发手段、采用Multisim9和Protel99两种工具软件作为控制为核心设计而成。Multisim9是电子电路设计与仿真方面的EDA软件。由于Multisim9的最强大功能是用于电路的设计与仿真,因此称这种软件叫做虚拟电子实验室或电子工作平台。在任意一台计算机上,利用Multisim9均可以创建虚拟电子实验室,从而改变传统的设计方法。Multisim9(EWB的最新版本)软件是Interactive Image Technologies Ltd在20世纪末推出的电路仿真软件。其最新版本为Multisim9,目前普遍使用的是Multisim9,相对于其它EDA软件,它具有更加形

17、象直观的人机交互界面,特别是其仪器仪表库中的各仪器仪表与操作真实实验中的实际仪器仪表完全没有两样,但它对模数电路的混合仿真功能却毫不逊色,几乎能够100%地仿真出真实电路的结果,并且它在仪器仪表库中还提供了万用表、信号发生器、瓦特表、双踪示波器(对于Multisim9还具有四踪示波器)、波特仪(相当实际中的扫频仪)、字信号发生器、逻辑分析仪、逻辑转换仪、失真度分析仪、频谱分析仪、网络分析仪和电压表与电流表等仪器仪表。还提供了我们日常常见的各种建模精确的元器件,比如电阻、电容、电感、三极管、二极管、继电器、可控硅、数码管等等。模拟集成电路方面有各种运算放大器、其他常用集成电路。数字电路方面有74

18、系列集成电路、4000系列集成电路、等等还支持自制元器件。Multisim9还具有I-V分析仪(相当于真实环境中的晶体管特性图示仪)和Agilent信号发生器、Agilent万用表、Agilent示波器和动态逻辑平笔等。同时它还能进行VHDL仿真和Verilog HDL仿真。Protel是PROTEL(现为Altium)公司在20世纪80年代末推出的CAD工具,是PCB设计者的首选软件。它较早在国内使用,普与率最高,在很多的大、中专院校的电路专业还专门开设Protel课程,几乎所在的电路公司都要用到它。早期的Protel主要作为印刷板自动布线工具使用,其最新版本为Protel DXP,现在普遍

19、使用的是Protel99SE,它是个完整的全方位电路设计系统,包含了电原理图绘制、模拟电路与数字电路混合信号仿真、多层印刷电路板设计(包含印刷电路板自动布局布线),可编程逻辑器件设计、图表生成、电路表格生成、支持宏操作等功能,并具有Client/Server(客户/服务体系结构), 同时还兼容一些其它设计软件的文件格式,如ORCAD、PSPICE、EXCEL等。使用多层印制线路板的自动布线,可实现高密度PCB的100%布通率。Protel软件功能强大(同时具有电路仿真功能和PLD开发功能)、界面友好、使用方便,但它最具代表性的是电路设计和PCB设计。 因此,使用Multisim9和Protel

20、99设计与传统设计相比较, 不仅简化了接口和控制, 也提高了系统的整体性能和工作可靠性, 具有电路简单、成本低廉、操作方便、灵敏可靠等优点。也由此可看出,Multisim9和Protel99两款工具软件在今后必然成为广大电子线路设计工作者首选的计算机辅助电子线路设计软件。22.4 EDA技术的基本设计方法在接受系统设计任务后,首先确定设计方案,同时要选择能实现该方案的合适元器件,然后根据具体的元器件设计电路原理图。接着进行第一次仿真,包括数字电路的逻辑模 拟、故障分析、模拟电路的交直流分析、瞬态分析。系统在进行仿真时,必须要有元件模型库的支 持,计算机上模拟的输入输出波形代替了实际电路调试中的

21、信号源和示波器,如图1所示。图1 电路仿真这一次仿真主要是检 验设计方案在功能方面的正确性。仿真通过后,根据原理图产生的电气连接网络表进行PCB板的自动布局布线。在制作 PCB板之前还可以进行后分析,包括热分析、噪声与窜扰分析、电磁兼容分析、可靠性分析等,并 且可以将分析后的结果参数反标回电路图,进行第二次仿真,也称为后仿真,这一次仿真主要是检 验PCB板在实际工作环境中的可行性。由此可见,电路级的EDA技术使电子工程师在实际的电子系统产生之前,就可以全面地 了解系统的功能特性和物理特性,从而将开发过程中出现的缺陷消灭在设计阶段,不仅缩短了开发 时间,也降低了开发成本。3 总体的设计思路3.1

22、 抢答器设计原理与总体方框图抢答电路主要由抢答按扭、优先编码电路、锁存器、译码电路、译码显示、主持人控制开关、控制电路、报警电路、秒脉冲产生电路、定时电路、显示电路组成,如图1所示。其工作原理为:接通电源后,主持人将开关拨到“清除”状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置“开始”状态,宣布“开始”抢答器工作。定时器倒计时,扬声器给出声响提示。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。如果再次抢答必须由主持人再次操作“清除”和“开始”状态开关。译 码显 示抢 答按

23、 扭译 码电 路优先编码电路锁存器 主体电路报 警电 路主持人控制开关控 制电 路显 示电 路译 码电 路定 时电 路秒脉冲产生电 路 扩展电路图1 设计框图3.2 单元电路设计3.2.1 抢答器电路参考电路如图2 所示。该电路完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是禁止其他选手按键操作无效。工作过程:开关S置于“清除”端时, RS触发器的端均为0, 4个触发器输出置0,使74LS148的= 0,使之处于工作状态。当开关S置于“开始”时,抢答器处于等待工作状态,当有选手将键按下时(如按下S5) , 74LS148的输出经RS锁存后, 1Q

24、= 1, 74LS48 处于工作状态, 4Q3Q2Q =101,经译码显示为“5”。此外, 1Q = 1,使74LS148 = 1,处于禁止状态,封锁其他按键的输入。当按键松开即按下时,74LS148的此时由于仍为1Q = 1,所以74LS148仍处于禁止状态,确保不会出二次按键时输入信号,保证了抢答者的优先性。如有再次抢答需由主持人将S开关重新置“清除”然后再进行下一轮抢答。74LS148为8线- 3线优先编码器,表1为其功能表。3图2 数字抢答器电路输入输出 1X X X X X X X X1 1 1 1 10 1 1 1 1 1 1 1 11 1 1 1 00 X X X X X X X

25、 0 0 0 0 0 10 X X X X X X 0 1 0 0 1 0 10 X X X X X 0 1 1 0 1 0 0 10 X X X X 0 1 1 1 0 1 1 0 10 X X X 0 1 1 1 1 1 0 0 0 10 X X 0 1 1 1 1 1 1 0 1 0 10 X 0 1 1 1 1 1 1 1 1 0 0 10 0 1 1 1 1 1 1 1 1 1 1 0 1 表1 74LS148的功能真值表3.2.2 定时电路该部分主要由555定时器秒脉冲产生电路、十进制同步加减计数器74LS192减法计数电路、74LS48译码电路和2个7段数码管即相关电路组成。具体

26、电路如图3所示。两块74LS192实现减法计数,表2为74192的真值表。图3 可预置时间的定时电路表2 74LS192功能真值表通过译码电路74LS48显示到数码管上,其时钟信号由时钟产生电路提供。74192的预置数控制端实现预置数,由节目主持人根据抢答题的难易程度,设定一次抢答的时间,通过预置时间电路对计数器进行预置,计数器的时钟脉冲由秒脉冲电路提供。按键弹起后,计数器开始减法计数工作,并将时间显示在共阴极七段数码显示管DPY_7 - SEG上,当有人抢答时,停止计数并显示此时的倒计时时间;如果没有人抢答,且倒计时时间到时,BO2 输出低电平到时序控制电路,控制报警电路报警,同时以后选手抢

27、答无效。下面结合图4具体讲一下标准秒脉冲产生电路的原理。图中电容C的放电时间和充电时间分别为4:于是从NE555的3端输出的脉冲的频率为: 结合我们的实际经验与考虑到元器件的成本,我们选择的电阻值为R1 = 15K, R2 = 68K, C= 10uF,代入到上式中即得,即秒脉冲。5T的工作状态1XX0导通11截止1从变化到1截止10导通1从变化到0导通表3 555定时器功能表图4 标准秒脉冲产生电路3.2.3 报警电路由555定时器和三极管构成的报警电路如图5示。其中NE555构成多谐振荡器,振荡频率f0 = 1. 43 / (R I + 2R2) C 其输出信号经三极管推动扬声器。PR为时

28、序控制电路输出的控制信号,当PR为高电平时,多谐振荡器工作,反之,电路停振。6图5 报警电路3.2.4 时序控制电路时序控制电路是抢答器设计的关键,它要完成以下三项功能:主持人将控制开关拨到“开始”位置时,抢答电路和定时电路进入正常抢答工作状态;当参赛选手按动抢答按键时,扬声器发声,抢答电路和定时电路停止工作;当设定的抢答时间到,无人抢答时,扬声器自动报警,表示此次抢答无效; 根据上面的功能要求以与图2,设计的时序控制电路如图6所示。图6 时序控制电路图6中,与门G1 的作用是控制时钟信号CP的放行与禁止,门G2的作用是控制74LS148的输入使能端(即图2中的5端) 。图4的工作原理是:主持

29、人控制开关从“清除”位置拨到“开始”位置时,来自于图2中的74LS279的输出1Q,即CTR = 0,经G3反相,输出为1,则NE555产生的时钟信号CP能够加到74LS192的CPD时钟输入端(图中用CLCK表示接入到74LS192CPD端的信号) ,定时电路进行递减计时。同时,在定时时间未到时,则“定时到信号”为1,门G2的输出= 0,使74LS148处于正常工作状态,从而实现功能的要求。当选手在定时时间内按动抢答按键时, CTR = 1,经G3反相,输出为0,封锁CP信号,定时器处于保持工作状态;同时,门G2的输出= 1, 74LS148处于禁止工作状态,从而实现功能的要求。当定时时间到

30、时,则“定时到信号”为0, /ST = 1,74LS148处于禁止工作状态,禁止选手进行抢答。同时,门G1于关门状态,封锁时钟CP信号,使定时电路保持00状态不变,从而实现无人抢答功能的要求。集成单稳态触发器74LS121用于控制报警电路与发声的时间(其功能表见表4) ,具体原理如下:主要由555时钟电路(用于控制报警声音频率) 、蜂鸣器即相关的延时电路和控制电路组成。单稳态触发器74121通过信号/Ys、BO2、S控制报警与否和报警时间, 555时钟电路产生脉冲时钟。在规定的时间有人抢答时, /Ys由1跳变到0, 74121有状态2 (见表3状态编码) ,即Q输出暂态高电平,蜂鸣器连续发声报

31、警,持续时间为tw = 4. 3秒;如果在规定时间内无人抢答, BO2由1跳变到0, 74121有状态1,Q输出暂态高电平,蜂鸣器连续发声报警持续时间为tw。结合图5所示报警电路,分析tw 计算如下: tw = R3C2 ln2。取C2 = 100uF, R3 = 51K,有tw =R6Cln2 = 4. 3秒。7输出 输入 表4 74121功能表通过以上分析,串联型直流稳压电源的测量值和理论计算相符。实际线路满足设计指标要求。如果以上设计的电路通过模拟仿真分析,不符合设计要求,可通过逐渐改变元器件参数,或更改元器件型号,使设计符合要求,最终确定出元器件参数。并可对更改的电路立即进行仿真分析,

32、观察虚拟结果是否满足设计要求,这在实际的电路中不容易实现,且不直观,而利用EDA工具可以较快且简洁的实现参数的设置与更改,观察结果也比较形象直观。3.5 电路制作与调试根据需求选择电路的设计单元进行组合,完成系统的原理图设计与PCB设计,对制作好的PCB板,或准备好的万用板,按照装配图或原理图进行器件装配,电路焊装前认真检查电路元件、确保安装无误,装配好之后进行电路的调试。一般情况下,刚接通电源,数码显示为0,按下裁判按扭,显示熄灭,这时电路进入抢答工作状态,按下任一抢答按扭,显示相应的抢答组编码,再按其它抢答按扭,显示器无反应,原显示号码不变。再次按下裁判按扭,显示器熄灭,电路再次进入抢答工

33、作状态。3.6 讨论本抢答器系统可以通过修改程序方便地调整设置时间,在线监视系统的工作状态。显示部分采用控制器内部编码方式输出控制信号驱动外部数码显示器件,也可以外部连接编、译码器件实现,并且可以采用适当的输出信号驱动其它类型的显示器件。如果对外部电路稍加修改,或者在系统程序中加入分支,可以把八路抢答器改为更多路的抢答器。如果去除系统中的互锁和限时功能,可以把抢答器改为呼叫器,能够用在医院病房、宾馆客房、写字楼办公室、工厂生产车间等多种地方。4 结语与展望通过本次设计,全面的检测了所学的电子学知识,设计能力得到了进一步的提高,在信息的搜寻与选择上,能力有了大幅度的提高。尤其对电子教学与学习,作

34、者有了一定的认识。长期以来,电子的教学与学习是一个教难逾越的难关,在这里,作者提出自己的看法:可以在充分使用EDA 等现代化的教学手段的同时,积极开展一项目法为主的教学活动。在这样的活动中,EDA等技术手段的使用降低了实验成本,使反复实验成为可能,节约了学校的开支,也进一步的激发了学生求知的兴趣。仿真软件的使用使得实验的结果以图等形式形象的展现出来了,这是传统的教学方式较难达到的,在传统的方法中,不但设备费用巨大,而且要考虑到方方面面的干扰等因素,实验结果不理想。但是,运用EDA电路仿真软件进行设计,能在制成实际电路之前保证电路有大致正确的参数属性,从而减少设计中不必要的弯路。因而我们可以看到

35、,对于设计人员,合理运用EDA电路仿真软件,可以节省大量人力、物力,缩短设计周期。5 致谢在本次设计中,得到了各位院领导和电子教研室老师的悉心指导,他们一丝不苟的工作态度和不拘一格的教学理念深深的感染了我,是我以后学习和工作的榜样,在这里,衷心的感谢他们,谢谢他们无私的帮助与指导,特别是吴老师和蔡老师,他们对我的论文进行了全程的指导,谢谢他们。参考文献1 郭勇主编,EDA技术(第1版),北京:高等教育出版社,20042 余家春编著,Protel 99 SE电路设计实用教程(第1版),北京:中国铁道出版社,2004 3 王连英主编,数字电子技术基础(第1版),南昌:江西高校出版社,20044 谢自美主编,电子线路综合设计(第1版),武汉:华中科技大学出版社,20065 童诗白主编,模拟电子技术基础(第2版),北京:高等教育出版社,19886 熊幸明主编,电子电工技能训练(第1版),北京:电子工业出版社,20057 赵国增主编,电路基础(第版),北京:高等教育出版社,2004

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 初中资料

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com