EDA16x16点阵滚动+动画显示(61页).doc

上传人:1595****071 文档编号:34800011 上传时间:2022-08-18 格式:DOC 页数:64 大小:132KB
返回 下载 相关 举报
EDA16x16点阵滚动+动画显示(61页).doc_第1页
第1页 / 共64页
EDA16x16点阵滚动+动画显示(61页).doc_第2页
第2页 / 共64页
点击查看更多>>
资源描述

《EDA16x16点阵滚动+动画显示(61页).doc》由会员分享,可在线阅读,更多相关《EDA16x16点阵滚动+动画显示(61页).doc(64页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、-四、课程设计的总体步骤1、单个字符的显示(如:黄):library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity xianshi isport( clk2:in std_logic;rck,sck:out std_logic;si:out std_logic);end ;architecture one of xianshi issignal sel:std_logic_vector(3 downto 0);signal q: std_logic_vector(31 downto 0);sign

2、al i:integer range 0 to 31;signal coi:std_logic;begin process(sel,clk1)begincase sel is when0000=qqqqqqqqqqqqqqqqnull; end case; if clk1event and clk1=1then si=q(i);i=i+1;coi=0; if i=31 then i=0;coi=1;sel=sel+1; end if; rck=not coi; end if;end process;sck=clk1;end ;时序仿真:从图中可以看出,当clk1在第32个上升沿rck变为低电频

3、,sck与clk1是同一电频,故此程序满足要求。 2、多个字符的跳动显示(如:黄小红):library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity xianshi isport( clk1:in std_logic;clk2:in std_logic;rck,sck:out std_logic;si:out std_logic);end ;architecture one of xianshi issignal lie:std_logic_vector(3 downto 0);signal se

4、l:std_logic_vector(3 downto 0);signal q: std_logic_vector(31 downto 0);signal i:integer range 0 to 31;signal coi:std_logic;begin process(sel,clk1,clk2)beginif clk2event and clk2=1 then lie case sel is when0000=qqqqqqqqqqqqqqqqnull; end case; when0001= case sel is when0000=qqqqqqqqqqqqqqqqnull; end c

5、ase; when0010= case sel is when0000=qqqqqqqqqqqqqqqqnull; end case; when others=null; end case; if clk1event and clk1=1then si=q(i);i=i+1;coi=0; if i=31 then i=0;coi=1;sel=sel+1; end if; rck=not coi; end if;end process;sck=clk1;end ; 时序仿真:从上图看出,当clk1在第32个上升沿rck变为低电频,sck与clk1是同一电频,故此程序满足要求。3、汉字的滚动和动画

6、显示(如:黄小红+笑脸):library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity xianshi isport( clk1:in std_logic;clk2:in std_logic;rck,sck:out std_logic;si:out std_logic);end ;architecture one of xianshi issignal lie:std_logic_vector(3 downto 0);signal sel:std_logic_vector(3 downto 0);

7、signal q: std_logic_vector(31 downto 0);signal i:integer range 0 to 31;signal coi:std_logic;begin process(sel,clk1,clk2)beginif clk2event and clk2=1 then lie case sel is when0000=qqqqqqqqqqqqqqqqnull; end case; when0001= case sel is when0000=qqqqqqqqqqqqqqqqnull; end case; when0010= case sel is when

8、0000=qqqqqqqqqqqqqqqqnull; end case; when0011= case sel is when0000=qqqqqqqqqqqqqqqqnull; end case; when0100= case sel is when0000=qqqqqqqqqqqqqqqqnull; end case; when0101= case sel is when0000=qqqqqqqqqqqqqqqqnull; end case; when0110= case sel is when0000=qqqqqqqqqqqqqqqnull; end case; when0111= case sel is when0000=qqqqqqqqqqqqqqqqnull; end case; when1000= case sel is when0000=qqqqqqq=00000000000000001111111111

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 单元课程

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com