第9章多采样率信号管理方案计划.doc

上传人:小** 文档编号:3032928 上传时间:2020-06-23 格式:DOC 页数:28 大小:2.06MB
返回 下载 相关 举报
第9章多采样率信号管理方案计划.doc_第1页
第1页 / 共28页
第9章多采样率信号管理方案计划.doc_第2页
第2页 / 共28页
点击查看更多>>
资源描述

《第9章多采样率信号管理方案计划.doc》由会员分享,可在线阅读,更多相关《第9章多采样率信号管理方案计划.doc(28页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、!-第9章 多采样率信号处理多采样率信号处理广泛应用于要求转换采样率,或要求系统工作在多采样率状态的信号处理系统中。如多种媒体语音、视频、数据的传输,它们的频率很不相同,采样率自然不同,必须实行采样率的转换;又如信号要在两个时钟频率的数字系统中传输时,为了便于信号的处理、编码、传输和存储,要求根据时钟频率对信号的采样率加以转换;再如一种信号处理算法在系统的不同部分采用不同的采样率(如子带编码等),使处理更加有效,等等。本章首先介绍直接在数字域对离散时间信号进行采样率转换的抽取(Decimation)和内插(Interpolation)方法,然后讨论抽取滤波器与内插滤波器的设计与实现方法。由不同

2、采样率构成的系统称为多采样率系统,大部分多采样率系统使用了滤波器组,以正交镜像滤波器组(QMF)为基础的树状结构滤波器组是一典型的多采样率系统,它与离散小波(Wavelet)变换的关系密切。本章最后由正交镜像滤波器组的概念引入小波变换的基本原理和多分辨率分析的概念,以利开拓思路,为进一步深入学习打下基础。91 离散信号的抽取与内插9.1.1 抽取与内插的时域描述离散序列的抽取与内插是多采样率系统中的基本运算,抽取运算将降低信号的采样频率,内插运算将提高信号的采样频率。离散序列的M倍抽取定义为 (9-1-1)其中M为一正整数。抽取运算的框图如图9-1所示。图9-1 倍抽取运算的框图 图9-2画出

3、了M=3时序列抽取的示意图。由图可知,离散序列的抽取表示保留第M个样本点,而去除两个样本之间的M-1个样本点,设原离散信号的采样周期为T,经M倍抽取后的信号的采样周期为,满足。为了强调此概念,在图9-2中,有意将抽取后的序列的间隔画为原序列的3倍。这时新的采样频率为 (9-1-2) 式中,为原有的采样频率。 (a)原序列 (b) 3倍抽取后的序列图9-2 离散序列的抽取离散序列的L倍内插定义为 (9-1-3)其中L为一正整数。内插运算的框图如图9-3所示图9-3 整数L倍内插运算框图图9-4画出L=3时序列内插运算的示意图。由图可知,原序列中的所有样本都保留在内插后的序列中,即内插运算不丢失信

4、息。离散序列的内插运算是在原序列的每两个样本点之间插入L-1个零值样本点。 若对内插后的序列通过一个低通滤波器进行平滑处理,则可将序列中的零值转换为内插值,使得低通滤波后的序列的采样率是原序列的L倍。如果序列的抽样间隔为T,则低通滤波后序列的采样间隔为。(a)原序列 (b)3倍内插后的序列图9-4 离散序列的内插9.1.2 抽取与内插的变换域描述 以上分析了序列抽取与内插的时域特性,下面从频域和z域讨论抽取与内插的变换域特性。由z变换的定义,M倍抽取后的序列的z变换为 (9-1-4)式中是周期为M的单位脉冲序列。由离散傅里叶级数可知,可以表示为 (9-1-5)将(9-1-5)式代入(9-1-4

5、)式可得 (9-1-6) 将代入式(9-1-6)可得M倍抽取后序列的频谱为 (9-1-7)式(9-1-7)表明,M倍抽取后序列的频谱可由下列步骤获得:(1)将扩展M倍得到,的周期为。(2)将右移的整数倍得到。(3)将(2)中的M个周期为的函数相加并乘以因子1/M,得到周期为的M倍抽取后序列的频谱。图9-3画出了3倍抽取后序列的频谱。由图可知,抽取后序列的频谱没有混叠。一般地,如果低频信号的频谱是带限,即在区间范围内有 , (9-1-8) 则M倍抽取后信号的频谱不会发生混叠。式(9-1-8)称为序列M倍抽取不混叠的Nyquist条件。图9-3 原序列的频谱和3倍抽取后序列的频谱 由z变换的定义,

6、L倍内插后的序列的z变换表示为 (9-1-9)将代入式(9-1-9)可得L倍内插后的序列的频谱为 (9-1-10)式(9-1-9)表明L倍内插后的序列的频谱是原序列频谱的L倍压缩。图9-6 原序列的频谱和4倍内插后序列的频谱图9-6画出了L=4时,原序列的频谱和内插后序列的频谱。由图可知,内插后序列在区间内的频谱,是由原信号在区间的频谱压缩4倍得到的。除了相差一个尺度因子外,两个频谱的形状保持不变。由于原序列频谱的周期为,因而的周期为。内插序列在区间将在区间和内重复3次,这些重复的部分称为镜像频谱。92抽取滤波器与内插滤波器9.2.1 抽取滤波器 通常抽取后的离散序列的频谱将会出现混叠。为了避

7、免混叠,可在信号抽取前利用低通滤波器对信号进行滤波,如图9-7所示。该滤波器称为抽取滤波器(decimation filter)。图9-7 M倍抽取滤波系统由图9-3可知,抽取滤波器可以是截频为的理想低通滤波器,其频率响应为: (9-2-1)如果低通滤波器的单位冲激响应为h(n),则滤波器的输出w(n)为 (9-2-2)最后的输出y(m)为 (9-2-3)将式(9-2-2)和式(9-2-3)合起来,y(m)与x(n)之间的关系为 (9-2-4)式(9-2-4)表明,在计算M倍抽取滤波器的输出时,只需计算抽取滤波器每M个输出中的一个样本。利用 (9-2-5)并根据(9-1-6)式,有 (9-2-

8、6)z在单位圆上取值,即时,可得 (9-2-7)式(9-2-7)是对输入信号x(n)进行滤波、抽取后的频域表达式。9.2.2 内插滤波器信号的内插不会引起频谱的混叠,但会产生镜像频谱,如图9-6所示。为了消除这些镜像频谱,可将内插后的信号通过低通滤波器,如图9-8所示。该低通滤波器称为内插滤波器(interpolation fliter)。由图9-6可知,内插滤波器可以是截止频率为的理想低通滤波器。该滤波器可以滤除信号频谱中的镜像频谱,仅保留范围内的频谱。内插滤波器的频率响应为 (9-2-8)图9-8 L倍内插滤波系统根据图9-8可知 (9-2-9)根据式(9-2-8)和式(9-2-9),可近

9、似得出 (9-2-10)在时刻,有 (9-2-11)因此,如要求,则应有,即对理想的内插器要求能恢复内插前的信号,增益必须等于。 若设内插滤波器的单位冲激响应为,则 (9-2-12)由式(9-1-3)和式(9-2-12)式,可以得到 (9-2-13)由于内插滤波器的输入信号中每L个样本中只有一个非0样本,所以内插滤波器的计算量只有常规系统的。9.2.3 有理数倍抽样率转换给定信号x(n),若希望将抽样率转变为倍,可以通过把M倍抽取和L倍内插结合起来得到。一般是先做L倍的插值,再做M倍的抽取。这是因为先抽取会使x(n)的数据点减少,会产生信息的丢失,并且可能产生频率响应的混叠失真。例如:如果x(

10、n)的抽样频率,此时x(n)的基带正好在容许的频带上限之内,即在折叠频率以内,现在要将x(n)的抽样频率转换为,此时,如果先做2倍抽取,则会先丢失掉一些数据,而且信号的数字频带要增加2倍,必然产生混叠失真。为了不产生混叠失真,必须将防混叠的低通滤波器频带限制在内,这样会丢失很多信息。如果先做3倍插值,使数字频带先缩小3倍,再做2倍抽取,则信号的数字频带变成原信号数字频带的2/3,因而不会产生混叠失真。所以,应先对信号做L倍的插值,再做M倍抽取,结构上就是两者的级联。图9-9实现以有理数来改变采样率的系统,新系统输出信号的采样率为。 图9-9 有理数倍L/M采样率转换框图 图9-9中将内插后的抗

11、镜像滤波器和抽取前的抗混叠滤波器合并为一个数字低通滤波器。由于此滤波器同时用作插值和抽取的运算,因而,它的理想频率响应为 (9-2-14)9.2.4 抽取滤波器的FIR结构和多相结构从前面的讨论可以知道,抽取器需要一个数字低通滤波器用于滤除可能会引起混叠失真的频谱分量。FIR滤波器具有绝对稳定,容易实现线性相位特性,特别是连同抽取器一起,采用合理的结构,可以大大提高运算效率。对于图9-7的M倍抽取器的直接型FIR滤波器的实现结构如图9-10(a)所示。这个直接型FIR滤波器结构概念清楚明白,其实现也很简单。但这是工作在高采样率状态,的每一个采样点均要和FIR滤波器的系数相乘。而滤波器输出中,每

12、M个样值中只抽取一个作为最终的输出,丢弃了其中个样值,所以该结构效率很低。 为了提高直接型FIR滤波器结构的运算效率,将图9-10(a)中抽取操作嵌入到FIR滤波器结构中,如图9-10(b)所示,由级联的延迟器移入各抽头的先做抽取,再和相乘,由于工作在低采样率状态,系统的运算速率降低了M倍。FIR滤波器则可写成 (9-2-15)图9-10 抽取的FIR结构(a)FIR滤波器与抽取器级联 (b)提高运算效率的结构多相(Polyphase)滤波器结构是按整数因子抽取的另一种高效实现结构。通常取N是M的整数倍,若令式(9-2-15)中的,则 (9-2-16)令,为多相滤波器的子滤波器的单位脉冲响应,

13、如;并且,则式(9-2-16)可以写成M个子载波的和的形式 (9-2-17)式中,为子滤波器输出。抽取器的多相滤波器结构如图9-11所示。图9-11 抽取器的多相滤波器结构9.2.5 内插滤波器的FIR结构和多相结构根据图9-8得到按整数因子L内插系统的直接型FIR滤波器结构如图9-12(a)所示,其中FIR滤波器采用了转置型结构。该结构中插零后再进行滤波,使得FIR滤波器要和大量的零值相乘,FIR滤波器以高采样率运行,该结构效率很低。类似抽取滤波器的FIR结构,将图9-12(a)内插器嵌入到FIR滤波器结构中的N个乘法器之后,得到如图9-12(b)所示的结构,以低的运算速率与相乘后再插零,运

14、算速率降低了L倍。图9-12 内插的FIR结构(a) 内插器与FIR滤波器级联 (b) 提高运算效率的结构仿照抽取器的多相滤波器结构,取内插因子为L的低通滤波器的长度N为L的整数倍,则可以分解成L个子滤波器 , (9-2-18) 由图9-12(b)得到图9-13形式的内插器的多相滤波器结构,图中, (9-2-19)图9-13 内插器的多相滤波器结构93 正交镜像滤波器组9.3.1 数字滤波器组的一般概念和定义数字滤波器组在语音分析、数据压缩、信号传输等领域均要用到。M通道滤波器组的基本结构如图9-14所示。、组成了分析滤波器组,输入信号x(n)通过这一组滤波器后,得到的、是x(n)的子带信号;

15、而合成过程则在滤波器组的综合滤波器中完成,表示该综合滤波器的输出信号。一般来说,各路信号的采样率与输入或输出信号的采样率是不同的,因此,系统本质上是多采样率的。设计滤波器组的一个重要任务或基本准则是,综合应用分析滤波器组、和综合滤波器组、,抵消或尽可能地抑制混叠失真。图9-14 M通道滤波器组对信号分解和综合示意图9.3.2 正交镜像滤波器组如果M=2,图9.14的M通道滤波器组就简化为两通道滤波器组,如图9-15(a)所示。利用前述信号抽取与内插理论,可得到两通道滤波器组的输入与输出关系为 (9-3-1)式中分量是由抽取过程中的混叠产生的响应,称之为混叠项。式(9-3-1)表明,为了消除混叠

16、项对输出的影响,系统需满足 (9-3-2)为满足式(9-3-2)约束条件,存在多种选择方案。一种简单的选择方案为 (9-3-3)这样即可消除混叠失真对整个系统输出响应的影响。滤波器组输出项中无混叠项的滤波器组称为无混叠滤波器组。(a)(b)图 9-15 正交镜像滤波器组(a)结构原理 (b)镜像对称的幅频响应对于两通道无混叠滤波器组,若分析滤波器组满足 (9-3-4)则称该滤波器组为镜像滤波器组。当为实系数时,式(9-3-4)意味着 (9-3-5)即它们的幅度响应关于镜像对称,如图9-15(b)所示。如果和两者没有重合,即当时,则和是正交的,称为正交镜像滤波器组(QMFB,Quadrature

17、 Mirror Filter Bank)。在实际应用中,和可以有少量重叠,也称为QMFB。令、和分别是、和的DTFT,可以得到 (9-3-6)及 (9-3-7)类似的,令、和分别是、和的DTFT,得到综合关系式为 (9-3-8) 用分析器的输出作为综合器的输入,即=,=,可以得到滤波器组的输入输出频域关系式为 (9-3-9)这个式中的第一项代表从到的有用信号变换,第二项则代表不希望出现的频域混叠分量。 为了去除不希望出现的频域混叠分量,必须满足 (9-3-10)只要仔细选择滤波器,将这个关系式中的前后两项抵消掉,就可以实现这个条件。通常可先设计一公共低通滤波器,再由它得到所有的分析和综合滤波器

18、,即 (9-3-11) (9-3-12)等效的,它们的DTFT满足 (9-3-13) (9-3-14)上面的条件表明,滤波器和对于频率是镜像对称的,如图9-15(b)所示。 将式(9-3-13)和式(9-3-14)代入式(9-3-10),得 (9-3-15)下面说明对和的要求。因为必须是一个低通滤波器,令 (9-3-16)或等效为 (9-3-17)式中2是与内插滤波器有关的增益因子。将式(9-3-16)代入式(9-3-15)中,得 (9-3-18)可以看出必须具有高通性质,其冲激响应为 (9-3-19) 因此,图9-15中分析和综合滤波器的设计转换为对公共低通滤波器的设计。将式(9-3-13)

19、、式(9-3-14)、式(9-3-16)和式(9-3-18)代入式(9-3-9),得到这种正交镜像滤波器组最终的输入和输出关系 (9-3-20)这里代表混叠的第二项已被消去,这意味着在分析结构中由抽取引起的混叠分量被综合结构中内插引起的镜像分量精确地抵消掉了。由式(9-3-20)可以看到,在低通滤波器满足条件 (9-3-21)时,分析和综合滤波器组构成的系统其增益将变成1。一般希望逼近理想低通条件 (9-3-22)QMF公共低通滤波器的设计可以综合考虑式(9-3-21)和式(9-3-22)的约束条件,采用第7章的FIR滤波器设计方法或借助计算机辅助设计的最优化方法实现。94 离散小波变换9.4

20、.1 连续小波变换由3.5节可知,在用DFT对信号进行频谱分析时,要提高频率分辨率就必须加大信号时间截取的长度,这意味着信号分析只能得到某一时间段上信号所包含的频率成份,截取长度越大,关于某一频率分量的时间定位精确度就越低,即时间分辨率降低。小波变换将时域信号变换到时-频域中,克服了DFT的不足,它对于不同的时间和不同的频率具有不同的精确度,可以同时获得信号时域特性和频域特性。对一个平方可积函数进行小波变换,就是用一个母小波函数的伸缩平移函数族来对其进行展开,记为 (9-4-1)式中,b为时间平移,a为时间伸缩,表示两个函数的内积。母小波函数必须满足一定的要求,实际上存在着许多母小波函数,因而

21、有许多小波变换,并具有不同的特征。显然,式(9-4-1)中参数a为一折中参数,在时间精度和频率分辨率之间取得折中。 这样一个连续参数a和b的小波变换具有相当大的冗余性,相应的反变换也不是唯一的,因此很少有实用性,下面将重点讨论二进小波(Dyadic wavelet)。9.4.2 多分辨率分析 Mallat提出了用多分辨率分析(或逼近)概念定义小波,将以前的各种正交小波基构造统一了起来,并给出了分解和重构算法。令表示实数域,表示整数域,。多分辨率分析建立在以下三个基本假设的基础上:(1)存在着一簇具有不同分辨率的子空间,满足 (9-4-2)每一个子空间具有不同的基向量,它们给定了不同的时间分辨率

22、。当下标i增加,空间的时间分辨率也增加。 (2)存在着一个尺度函数,以及它的整数平移 (9-4-2)构成空间的一组正交基,满足。(3)伸缩规则性 (9-4-3) 利用伸缩规则性和尺度函数产生了空间正交基的特性,可以立即得到所有空间的基的集合 (9-4-4)函数代表空间的一个正交基。因此有。上式中的保证模总为1,它独立于下标i与k。 由于,并且函数,在空间可以表示成函数的线性组合 (9-4-5)式中为线性组合系数。 利用引入的基,一个信号可以表示为 (9-4-6)式中为展开系数。进一步,也是空间上的分量,从而也可以展开成 (9-4-7)与函数比较,函数沿着时间轴压缩了2倍,因此,在空间上的信号的

23、时间分辨率是空间上的2倍。由于子空间上的分辨率以2的幂次增加,因而称为二进尺度函数或二进多分辨率。9.4.3 二进小波 对每一子空间,可以将表示成与它的正交补空间的直和,即 (9-4-8)和一样,希望找到一个确定的函数,使得每个,函数系 (9-4-9)构成空间的正交基,这里是前面介绍的母小波函数。因此,对于一个信号,可以写成 (9-4-10)式中。 由于,信号也可以在空间中由尺度函数展开。对于的母小波函数,也可以在空间中表示成 (9-4-11)式中为线性组合系数。类似地,空间也可分解成直和的形式,也可进一步分解。根据式(9-4-2),信号空间可以表示成 (9-4-12)式中下标j是任意的,表示

24、分解的深度。 由式(9-4-6)、式(9-4-10)和式(9-4-12),对于任意平方可积信号,都可以用尺度函数与小波函数线性表示为 (9-4-13)式中对应的低频分量,是信号的最粗略近似,由低分辨率的尺度信号表示;对应于信号的高频分量,由具有更高分辨率的一系列不同尺度的小波信号表示,随着尺度j是的增加,表示了信号中更丰富的细节。也就是说,展开系数反映了信号中的低频分量的分布情况,而展开系数反映了信号中的高频分量的分布情况,这些展开系数就是信号的离散小波变换(DWT,Discrete Wavelet Transform)。9.4.4 二进小波变换与滤波器组在信号的小波分析中,实际上并不直接利用

25、小波函数和尺度函数计算信号的DWT,而是利用其对应的小波函数系数和尺度函数系数。设空间,有 (9-4-14)式中系数必须已知。由于,这一信号可以唯一表示成两子空间的投影和的形式,这里的投影被展开成各自的基的展开式,即 (9-4-15)实际上,投影是由已知系数来计算未知系数和。根据式(9-4-5),空间的基函数可以由空间的基函数递推得到。将式(9-4-5)代入式(9-4-4),得 (9-4-16)将关系式和代入上式,得 (9-4-17)同理,空间中的基函数可以相应于空间展开成 (9-4-18)则展开系数可写成 (9-4-19)式中表示线性卷积。因此,系数可通过与序列的卷积,并对其结果2倍抽取得到

26、。类似地,可以用下式求得。 (9-4-20)这一结果示于图9-16。因此,分解一个信号的系数可以通过单位脉冲响应为和的分析滤波器组求得。将信号投影到其子空间时,它具有两倍于子空间的分辨率。相应的,展开系数和是系数的采样率的一半。图 9-16 用分析滤波器计算小波展开系数 联系到伸缩的规则性,到上的投影相应于低通滤波,到上的投影相应于高通滤波。因此,是一低通滤波器,而是一互补的高通滤波器。如果重复地将更多的两通道分析滤波器连接到一个两通道滤波器组的低通输出端,将实现式(9-4-13)的信号分解,其结构如图9-17所示。图 9-17 树状结构的二进小波变换与上述讨论的将一个信号投影到两个子空间的过

27、程相反,可以将两个子空间和的信号合成为空间的一个信号,这一过程伴随着信号的时间精度提高了一倍。这一信号的合成相应于两通道综合滤波器组的运算。在综合运算中,合成信号的未知系数,可由子带信号的已知系数和计算得到。为了计算这些系数,需要用基和表示。因为,所以存在着以下关系式 (9-4-21)利用关系式 (9-4-21)令,和代入式(9-4-21),得 (9-4-22)因此,展开系数可写成(9-4-23)式(9-4-23)可以进一步表示为 (9-4-24)其中和分别为和的2倍内插序列。式(9-4-24)可以用图9-18的综合滤波器组来实现。由于内插过程,数字滤波器和的偶数下标才与系数和相乘。式(9-4

28、-24)就是小波重构算法,对应于离散逆小波变换(IDWT: Inverse Discrete Wavelet Transform)。图 9-18 用综合滤波器组合两个子空间的信号对于图9-18结构的二进级联,可将不同子空间的信号合成到一起,得到了与图9-17相反的结构,示于图9-19所示。图 9-19 树状结构的逆二进小波变换采用二进树结构的分析滤波器组和综合滤波器组对信号进行分解或重构是非常有效的,它甚至不涉及函数和的具体形式。9.5 本章相关的MATLAB命令及应用在MATLAB信号处理工具箱中,提供了抽取函数decimate()、内插函数interp()和重采样函数resample()等

29、。1. 抽取函数decimate格式:y = decimate(x,r)功能:对离散时间信号向量按抽取因子r抽取,得到信号向量,相当于降低了采样率r倍。向量的长度是原信号向量长度的1/r倍。2. 内插函数interp格式:y = interp(x,r)功能:对离散时间信号向量按内插因子r内插,得到信号向量,相当于提高了采样率r倍。向量的长度是原信号向量长度的r倍。3. 重采样函数resample格式:y = resample(x,p,q)功能:对离散时间信号向量按有理数p/q倍的采样率重新采样,得到信号向量。p和q必须为正整数。向量的长度等于ceil(length(x)*p/q)。4. 离散小

30、波变换dwt格式:cA,cD = dwt(X, wname)功能:对离散时间信号序列X进行小波分析,cA是信号离散小波变换对应的近似展开系数,cD是对应的细节系数,wname是小波名称。5. 离散小波逆变换idwt格式:X = idwt(cA,cD,wname)功能:实现一维单级离散小波逆变换,是函数dwt的逆运算。各参数与函数dwt相同。6. 分解与重构滤波器组 wfilters格式:Lo_D, Hi_D, Lo_R,Hi_R = wfilters(wname)功能:产生用于信号DWT和IDWT对应的滤波器组。Lo_D为分解数字低通滤波器的单位脉冲响应,Hi_D为分解数字高通滤波器的单位脉冲

31、响应,Lo_R为重构数字低通滤波器的单位脉冲响应,Hi_R为重构数字高通滤波器的单位脉冲响应。9.5.1 时域和频域抽样率的改变例9-1 编写程序对时域正弦信号进行3倍内插和3倍抽取操作。 程序代码如下: % 程序9_1% 对一个正弦信号进行3倍内插和3倍抽取clf;n = 0:49;m = 0: 50*3 - 1;x1 = sin(2*pi*0.12*m);x = sin(2*pi*0.12*n);y = zeros(1, 3*length(x);y(1: 3: length(y) = x; %3倍内插y1=x1(1 : 3 : length(x1); %3倍抽取subplot(3,1,1)

32、stem(n,x);title(输入序列);xlabel(时间 n);ylabel(幅度);subplot(3,1,2)stem(n,y(1:length(x);title(内插序列);xlabel(时间 n);ylabel(幅度);subplot(3,1,3)stem(n,y1);title(抽取序列);xlabel(时间 n);ylabel(幅度);程序运行结果如下:图9-20 对正弦信号的时域3倍内插和3倍抽取例9-2 编写程序对一个时域有限长且频域有限带宽的输入序列进行整数倍内插与抽取,分析原输入序列与内插和抽取后序列的频谱变化。% 程序 9_2% 分析内插对频谱的影响freq = 0

33、 0.45 0.5 1;mag = 0 1 0 0;x = fir2(99, freq, mag); % 利用fir2函数产生一个有限长序列% 求取并画入输出谱Xz, w = freqz(x, 1, 512);subplot(2,1,1);plot(w/pi, abs(Xz); axis(0 1 0 1); gridxlabel(omega/ pi); ylabel(幅度);title(输入谱);subplot(2,1,2);%产生内插序列L = input(输入内插因子 = );y = zeros(1, L*length(x);y(1: L: length(y) = x;% 求取并画出输出谱

34、Yz, w = freqz(y, 1, 512);plot(w/pi, abs(Yz); axis(0 1 0 1); gridxlabel(omega/ pi); ylabel(幅度);title(输出谱);程序运行结果如下:图9-21 原序列与3倍内插序列的频谱9.5.2 抽取器与内插器的设计例9-3 用抽取函数decimate、内插函数interp和重采样函数resample编写程序对时域信号分别进行M倍的抽取、L倍的内插和L/M倍的分数率抽样率改变操作。程序代码如下:% 程序 9_3% 用抽取函数decimate、内插函数interp和重采样函数resample对信号进行重采样clf;

35、M = input(抽取因子 = );L = input(内插因子 = );n = 0:99;x = sin(2*pi*0.043*n) + sin(2*pi*0.031*n);y = decimate(x,M,fir);y1 = interp(x,L);y2 = resample(x,L,M);subplot(2,2,1);stem(n,x(1:100);title(输入序列);xlabel(时间 n);ylabel(幅度);subplot(2,2,2);m = 0:(100/M)-1;stem(m,y(1:100/M);title(抽取序列);xlabel(时间 n);ylabel(幅度)

36、;subplot(2,2,3);m = 0:(100*L)-1;stem(m,y1(1:100*L);title(内插序列);xlabel(时间 n);ylabel(幅度);subplot(2,2,4);m = 0:(100*L/M)-1;stem(m,y2(1:100*L/M);title(分数率抽样序列);xlabel(时间 n);ylabel(幅度);程序运行结果如下:图9-22 原序列与2倍抽取、3倍内插、3/2分数倍抽样率得到的序列 9.5.3 滤波器组的设计 对于图9-14中的分析滤波器组,有 (9-5-1)式中。这些传输函数的频率响应为 (9-5-2)即的频率响应可通过将的响应以

37、步长右移得到。式(9-5-1)定义的M个滤波器可以作为图9-14中的分析滤波器组中的分析滤波器用,也可在图9-14中的综合滤波器组中作为合成滤波器使用。得到的滤波器称为均匀滤波器组。例9-4 设原型低通滤波器的归一化通带边界为0.2,归一化阻带边界为0.25,试编写程序设计4频带均匀分析或合成滤波器组。程序代码如下:% 程序 9_4% 设计均匀DFT滤波器组clf;b = remez(20, 0 0.2 0.25 1, 1 1 0 0, 10 ); %用Remez算法设计原型FIR低通滤波器w = 0:2*pi/255:2*pi; n = 0:20;for k = 1:4; c = exp(2

38、*pi*(k-1)*n*i/4); FB = b.*c; HB(k,:) = freqz(FB,1,w);end%画出各子带滤波器的幅频响应subplot(2,2,1)plot(w/pi, abs(HB(1,:);xlabel(omega/ pi);ylabel(幅度);title(滤波器No. 1); axis(0 2 0 1.1);subplot(2,2,2)plot(w/pi,abs(HB(2,:);xlabel(omega/ pi);ylabel(幅度);title(滤波器No. 2);axis(0 2 0 1.1);subplot(2,2,3)plot(w/pi,abs(HB(3,:

39、);xlabel(omega/ pi);ylabel(幅度);title(滤波器No. 3); axis(0 2 0 1.1);subplot(2,2,4)plot(w/pi,abs(HB(4,:);xlabel(omega/ pi);ylabel(幅度);title(滤波器No. 4); axis(0 2 0 1.1);程序运行结果如下:图9-23 4频带滤波器组9.5.4 离散小波变换例9-5 试利用Daubechies系列中的db2小波和函数dwt对某一维信号进行小波分析。程序代码如下:%产生一个基本信号序列,长度=16s = 2+kron(ones(1,8), 1 -1) +(1:16

40、).2)/32+0.2*randn(1,16);% 利用小波db2进行DWTca1,cd1 = dwt(s, db2);subplot(211);plot(s);title(原始信号);subplot(223);stem(ca1,k.);title(近似系数);subplot(224);stem(cd1,k.);title(细节系数);程序运行结果如下:图9-24 信号DWT波形例9-6 试利用Daubechies系列中的db2小波和函数idwt对某一维信号进行离散小波逆变换,并计算重构误差。程序代码如下:%产生一个基本信号序列,长度=16s = 2+kron(ones(1,8), 1 -1)

41、 +(1:16).2)/32+0.2*randn(1,16);% 利用小波db2进行DWTcA1,cD1 = dwt(s, db2);% 根据dwt所得尺度展开系数ca1,重构信号低频分量a1 = idwt(cA1, , db2);subplot(221);plot(a1);title(低频分量);% 根据dwt所得尺度展开系数cd1,重构信号高频分量d1 = idwt(, cD1, db2);subplot(222);plot(d1);title(高频分量);% 根据信号分量a1和d1,重构信号ssss = a1 + d1;Err = norm(s-ss);subplot(212);plot(s;ss;);title(原始信号与重构信号);xlabel (重构误差=, num2str(Err);程序运行结果如下:图9-24 信号经IDWT的重构信号波形小 结 本章对多采样率信号处理的基本概念与理论知识作了简

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 技术资料 > 其他杂项

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com