文献综述开题报告.pdf

上传人:赵** 文档编号:21164882 上传时间:2022-06-18 格式:PDF 页数:10 大小:264.40KB
返回 下载 相关 举报
文献综述开题报告.pdf_第1页
第1页 / 共10页
文献综述开题报告.pdf_第2页
第2页 / 共10页
点击查看更多>>
资源描述

《文献综述开题报告.pdf》由会员分享,可在线阅读,更多相关《文献综述开题报告.pdf(10页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、文献综述开题报告文献综述是研究生在其提前阅读过某一主题的文献后,经过理解、 整理、 融会贯通,综合分析和评价而组成的一种不同于研究论文的文体。文献综述与开题报告1. 文献综述1.1 频率合成技术简介频率合成是指从一个高稳定的参考频率, 经过各种技术处理, 生成一系列稳定的频率输出。频率合成的概念就是由一个或几个参考频率通过一些转换,产生一个或多个频率信号的过程。频率合成技术一般分为直接式(DS)、间接式(PLL)和直接数字式(DDS)三种基本形式。早期的频率合成采用直接式的方式,是由一个或多个晶体震荡器经分频、倍频、混频对一个或几个基准频率进行加、减、乘、除运算产生所需要的频率信号,并通过滤波

2、器产出,这是最早的频率合成信号源的方法。目前该方法仍在使用,主要是因为它频率转换速度、相位噪声低,比较容易实现。但是该方式涉及的合成器体积过于庞大,而且成本较高,结构复杂、产生任意波形的可控性较低。间接合成式是基于锁相环的原理,即PLL。它与前者相比,输出频率的稳定度和准确度都有明显的提高,频谱纯度等性能也有较大改善。主要是因为信号源的振荡频率被固定在频率计数器的时基上,也就是说以稳定度高的振荡器为基准。因此,锁相环的输出频率就与基准频率一致,振荡器输出信号和参考信号之间的相位差为固定的常数,而且锁相环的突出优点是能够抑制叠加到输入信号上的噪纠。这是直接式频率合成方法所不能达到的。PLL 还有

3、体积小、性价比较高等一系列优点。但是 PLL 技术也有明显的缺点,采取闭环控制,系统的输出频率改变后,重新达到稳定的时间也就比较长,一般为毫秒级,很难满足高频率分辨率与快速转换率同时具备的要求, 因此也有明显瑕疵。直接数字频率合成技术从原理上实现了突破。前两种方法都是通过对基准频率进行运算得出, 而 DDS 技术则是从相位的概念进行频率合成。它按一定的相位间隔,将待产生的波形幅度的二进制数据存储于高速存储器作为查找表,用参考频率源(一般为晶体振荡器)作为时钟,用频率控制字决定每次从查找表中取出波形数据的相位间隔,以产生不同的输出频率,对取出的波形数据通过高速 D/A 转换器来合成出存储在存储器

4、内的波形。直接数字频率合成技术的主要优点是输出相位连续、相对带宽较大、频率分辨率很高、可编程、准确度和稳定度都比较高。DDS 技术是利用查表法来产生波形,而通过修改存储在ROM 里的数据,就可以产生任意波形。所以它不仅能产生正弦、余弦、方波、三角波和锯齿波等常见波形,而且还可以根据需要利用各种编辑手段,产生传统函数发生器所不能产生的真正意义上的任意波形所以,在这里选择 DDS 技术。1.2 DDS 基本结构DDS(Direct Di 西 tal Synthesis)技术设计思想是基于数值计算信号波形的抽样值来实现频率合成的.。它包括数字器件与模拟器件两部分, 主要有相位累加器、 ROM 波形查

5、询表、数模转换器组成。其基本框图如下图 1(1)相位累加器是 DDS 的核心部分。一般是由数字全加器和数字寄存器组成, 一般 DDS 的累加器都采用二进制, 线性数字信号通过相位累加器实现逐级的累加。假设累加器字长为 N,频率控制字为 K,控制时钟频率为 fc,系统在同一个时钟下工作,每个时钟周期加法器做一次累加计算。因为累加器的满偏是 2,所以累加一次,相当于做一次2模的运算。得到的和作为相位值。(2)波形函数存储在 ROM 中。根据累加器输出的相位值,作为地址,寻找存储在 ROM 中的波形函数的幅度量化值,完成相位到幅值的转换,输出相对应的序列。(3)数模转换器 DAC 是 DDS 中的重

6、要部分。经过查表以后得到的是离散的脉冲信号,通过数模转换器将转换成为连续平滑的信号。DDS 输出的最高频率主要跟DAC 的性能有关。因为一个正弦周期内采样点越少,越容易发生失真现象。为了获得较为理想的信号,一般DAC 之后都会接一平滑滤波器。1.3 DDS 基本原理一个纯净的单频信号可表示为:ootfUtu 2sin (2-1)只要它的幅度 U 和初始相位 o 不变,它的频谱就是位于of 的一条谱线。为了分析简化起见,可令U=1,o =0,这将不会影响对频率的研究。即:ttftuo sin2sin (2-2)如果对(2-2)的信号进行采样, 采样周期为 cT(即采样频率为 cf), 则可得到离

7、散的波形序列:conTfnu 2sin .2,1,0 n (2-3)相应的离散相位序列为:nnTfnco 2 .2,1,0 n (2-4)式中:cocoffTf22 (2-5)是连续两次采样之间的相位增量。根据采样定理:coff21(2-6)只要从(2-3)出来的离散序列即可唯一的恢复出(2-2)的模拟信号。从(2-2)可知,是相位函数的斜率决定了信号的频率;从(2-5)可知,决定相位函数斜率的是两次采样之间的相位增量 。因此,只要控制这个相位增量,就可以控制合成信号的频率。现将整个周期的相位 2 分成 M 份,每一份为 M2 ,若每次的相位增量选择为 的 K 倍,即可得到信号的频率:ccof

8、MKTKf2 (2-7)相应的模拟信号为:tfMKtuc 2sin (2-8) 式中 K 和 M 都是正整数,根据采样定理的要求,K 的最大值应小于 M的 1/2。综上所述,在采样频率一定的情况下,可以通过控制两次采样之间的相位增量 (不得大于 )来控制所得离散序列的频率,经保持、滤波之后可唯一的恢复出此频率的模拟信号。苏州大学本科生毕业设计(论文)41.4 FPGA以硬件描述语言(Verilog或 VHDL)所完成的电路设计,可以经过简单的综合与布局,快速的烧录至 FPGA 上进行测试,是现代 IC 设计验证的技术主流。这些可编辑元件可以被用来实现一些基本的逻辑门电路(比如 AND、OR、X

9、OR、NOT)或者更复杂一些的组合功能比如解码器或数学方程式。在大多数的 FPGA 里面, 这些可编辑的元件里也包含记忆元件例如触发器(Flip-flop)或者其他更加完整的记忆块。1.5 Quartus IIAltera Quartus II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。Quartus II design 是最高级和复杂的, 用于 system-on-a-programmable-chip (SOPC)的设计环境。QuartusII design 提供完善的 timing closure 和 LogicLock 基于块

10、的设计流程。QuartusII design 是唯一一个包括以timing closure 和 基于块的设计流为基本特征的programmable logic device (PLD)的软件。Quartus II 设计软件改进了性能、提升了功能性、解决了潜在的设计延迟等,在工业领域率先提供 FPGA 与 mask-programmed devices开发的统一工作流程。2. 主要任务和目标本设计,在保证硬件电路功能的前提下,使电路模块化以方便设计和管理。整个系统组成以 FPGA 芯片为核心,配以必要的外围电路组成。外围电路主要分为控制电路和数据处理电路两部分,完成显示信息以及控制波形数据的模块

11、输出等功能。因此,针对以上问题,本设计的工作拟包括以下几个部分: 1、选用 FPGA 集成芯片作为设计的主控芯片,实现信号源的产生; 2、要求信号源输出任意波形; 3、输出信号幅值频率可调。3. 研究思路、方法和方案基于 FPGA 实现 DDS 功能,通过单片机实现控制。此方案的核心在于 FPGA 的设计实现逻辑功能,通过对存储器查表后输出信号,由相连接的数模转换器转换为要求的波形。单片机作为控制器,易于控制与调试。系统框图如下:其中,单片机部分实现控制,给DDS 频率合成部分提供频率控制字。FPGA 部分实现 DDS 频率合成,该部分为整个设计的核心部分。产生的数字信号再传给数模转换器转变为

12、需要的模拟信号,最后通过滤波放大部分产生需要的任意波形。建立一个模块实现从单片机接收来的频率控制字的寄存功能,作为寄存器。全加器实现 20 位的相位累加,通过频率控制字作为步长进行控制。由于 FPGA 内输出位数有限,因此建立一个模块进行高位截断,只去高十位,接收累加器输出的数据。然后再通过波形 ROM 完成波形的查找与输出。这部分为固定的正弦波、三角波、方波与锯齿波等常规波形的输出部分。任意波形部分,则需要一个能随时接受数据更新的RAM,其数据的写入由单片机控制, 接收上位机的下传数据,其数据的读取由DDS 中的地址发生器控制,这样即可产生任意波形输出。DDS 基本框图:图 3下面先已固定波

13、形来分析:频率控制字寄存器: 用于接收单片机传输过来的频率控制字, 进行一个控制字的位数转换。8 位转化为 20 位。累加器:已寄存器传输过来的频率控制字作为步长进行加法运算,当其满值时清零,并重新进行运算。累加器位数为 20 位。截位寄存器:实现高位截段。因为储存波形的 ROM 为十位,而累加器传输来的数据为20 位,所以需要通过截位寄存器截取累加器数据的前十位。波形 ROM: 用来存储正弦波等信号的波形数据, 每个波形存储在一个固定的波形ROM 里。其中 Sine ROM 为正弦波存储模块,Square ROM 为方波存储模块,Triangle-ROM为三角波存储模块,Swtooth RO

14、M 为锯齿波存储模块。在 ROM 宏单元中可以自动生成。ROM 中的数据由截位寄存器传输来的数据进行查找ROM 中相应的数据进行输出, 从而可以输出不同频率的波形。此方案的特点:通过 FPGA 构建 DDS,方法灵活,易于产生任意波形。4. 进度安排设计(论文)各阶段任务 起 止 日 期 1 查资料, 看书, 完成开题报告及准备工作 2.21-3.122 熟悉开发环境与开发过程 3.13-3.20 3 编程、调试,初步实现设计要求 3.21-4.27 4 修改,完成设计 4.28-5.11 5 完成毕业论文及答辩5.12-5.215. 参考文献1朱小斌.电子测量仪器M.北京:电子工业出版社,19962Michael Lauterbach Artpin.任意波形发生器在通讯测试中的应用D.电子产品世界,19973史海明.个人仪器多功能任意波形发生器的研制M.仪表技术,1988 4林青.DDS 在数字调制中的应用J.无线电工程,20015张开增,张迎新,王尚忠.高分辨率高稳度宽带函数发生器的研制J.华北工学院学报 6华清远见嵌入式培训中心.FPGA 应用开发入门与典型实例J.北京:人民邮电出版社,2008

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 高考资料

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com