集成电路设计流程.doc

上传人:豆**** 文档编号:17688543 上传时间:2022-05-25 格式:DOC 页数:3 大小:280.50KB
返回 下载 相关 举报
集成电路设计流程.doc_第1页
第1页 / 共3页
集成电路设计流程.doc_第2页
第2页 / 共3页
点击查看更多>>
资源描述

《集成电路设计流程.doc》由会员分享,可在线阅读,更多相关《集成电路设计流程.doc(3页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、【精品文档】如有侵权,请联系网站删除,仅供学习与交流集成电路设计流程.精品文档.近年来,集成电路的设计在规模和复杂度方面不断取得进展,而对逻辑电路及系统的设计时间要求却越来越短。在一片晶圆上可以容纳的晶体管数目及运行的频率不断飙升,达到几乎每两年增加一倍的速度,此即著名的摩尔定律(Moores Law)。这使得过去需要庞大面积的电路缩小到仅仅一颗小小的芯片,甚至能大大超过原有的功能。随着半导体制造工艺的快速发展,集成电路的设计方法也日新月异,传统的依靠经验的原理图设计方法也不符合时代的需要,具有可移植性及独立于半导体工艺外特性的硬件描述语言(Hardware Description Langu

2、age)的设计方法应运而生。本文着眼于数字专用集成电路(ASIC)的设计,其开发流程如图4-1所示。图 4-1 ASIC设计流程ASIC设计流程分为前端和后端两部分,前端主要包括设计输入、模拟/仿真、逻辑综合;后端包括门级仿真、版图规划、布局、布线、参数提取与后仿真。前端(Front End)的工作主要是将电路的功能转换为用硬件描述语言来实现,然后把代码转综合成逻辑门级的电路。而后端(Back End)的部分做的是布局(Place)与布线(Route)以及版图,后仿真主要是测试经过P&A后某些电路产生的延时对整个系统的影响。下面简要介绍流程中各个阶段的任务。设计输入(Design entry)

3、:输入使用硬件描述语言(Verilog或VHDL)描述的设计或原理图。用硬件描述语言描述产品的功能和编写测试模块。良好的代码风格应该具有以下特点:足够的注释说明和有意义的命名,一行不要太长,组合逻辑中没有必要用非阻塞赋值,利用参数定义提高可读性和可维护性,注意向量的宽度,在对向量赋值时也应当指明数值的宽度,符合代码可综合的原则。模拟/仿真(simulation):又称功能仿真。通过仿真检查设计功能是否符合要求。在设计完成后,还必须对设计的正确性进行测试。通常的方法是对设计模块施加激励,通过观察其输出波形来检验功能的正确性。激励模块一般称为测试台,在仿真环节可以编写不同的测试台对设计进行全方位的

4、验证。激励模块同样可以使用硬件描述语言来编写。逻辑综合(Logic synthesis):通过逻辑综合工具(常用的是Synopsys公司的DC)将硬件描述语言描述的设计通过转译(translation)、优化(optimization)和映射(mapping)产生与实现工艺相关的网表(netlist)文件。网表文件是一种记录有逻辑门之间连接关系以及延时信息的文件。综合是连接电路高层与物理实现的桥梁,综合结果的好坏决定于电路的设计,综合给定的限制条件与综合之后的门级网表将送到后端工具用于布局布线。前仿真(门级,Prelayout simulation):进行版图设计之前通过仿真检查设计功能是否符

5、合要求。在这个环节,要把逻辑综合生产的网表文件添加到仿真文件中并需要添加编译工艺库来仿真。门级仿真比模拟/仿真能更真实的反应电路的工作情况,因为门级仿真把逻辑门之间的连接关系以及延时信息都考虑进去了。功能仿真是在标准工艺库下进行的仿真,门级仿真需要自己编译工艺库来仿真,这也是门级仿真的必要之处。版图规划(Floorplanning):将网表文件中的快放置到芯片的合适位置上。具体工作是计算各个快的大小并安排它们的位置,保持有高度连接的模块在物理上也是彼此连接的。随着工艺技术的改进,连线的作用越来越重要,版图规划对最终结果的影响也越来越大。布局(Placement):确定快中单元的位置。具体工作是

6、安排布线面积和可变动快中的单元位置以及最小化ASIC面试和互连线密度。布线(Routing):建立单元和快之间的连接,完成互联布线。具体工作是确定所有互连线的位置以及最小化互连线所占的面积和连线长度。有时候版图规划、布局、布线的划分并不是十分独立的,实际使用中一些EDA工具可能将这些步骤结合在一起来实现。参数提取与后仿真(Extraction&Postlayout simulation):确定互连线的电阻、电容等寄生参数。检查设计在增加了互连负载之后是否仍然能正常工作。在通过后仿真后,如果没有出现问题,就可以把设计拿到制造厂商(Foundry)进行流片,制成实际的芯片,最后还要经过封装和测试通过后才能成为产品并最终上市。上述设计步骤中,每个设计步骤依赖于前一个步骤的结果,如果有一步骤出现问题就要返回到上一步骤重新进行修改设计,直至没有问题出现。IC的设计流程也不是一成不变的。随着新工具、新方法的不断出现,IC设计流程也随之要发生相应的变化。同时,由于半导体生产工艺的不同,设计层次的不同、甚至设计规模的不同,IC设计流程也不一样。但是,无论我们用什么样的设计流程,具体的设计思路应该是一样的。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 小学资料

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com