智力抢答器.doc

上传人:豆**** 文档编号:17241725 上传时间:2022-05-22 格式:DOC 页数:20 大小:325KB
返回 下载 相关 举报
智力抢答器.doc_第1页
第1页 / 共20页
智力抢答器.doc_第2页
第2页 / 共20页
点击查看更多>>
资源描述

《智力抢答器.doc》由会员分享,可在线阅读,更多相关《智力抢答器.doc(20页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、【精品文档】如有侵权,请联系网站删除,仅供学习与交流智力抢答器.精品文档.目 录绪 论2第1章 总体方案的设计31.1 任务要求3第2章 单元电路的设计52.1 抢答电路52.1.2 优先编码器 74LS14862.1.3 CD4511引脚图72.1.3 七段数码显示管92.2 计时器电路102.2.1 计数器74LS160加法计数器(四位二进制)102.2.2 其它元件112.3 报警电路112.4 振荡电路11第3章 整机电路的工作原理13第4章 系统仿真与调试154.1 multisim系统介绍154.2 电路仿真194.2.1 电路仿真图19第5章 实物制作215.1 制作过程215.

2、2 元器件表器件(见附录2)215.3 器件插放及焊接工艺215.3.1 器件插放215.3.2 元器件焊接工艺要求26总 结.28绪 论随着我国经济和文化事业的发展,在很多竞争场合都要求有快速公正的额竞争裁决,例如证券、股票交易及各种智力竞赛等。在现代生活中,智力竞赛更是作为一种生动活泼的教育形式和方法能够引起观众的极大兴趣。而在竞赛中往往分为几组参加,这时针对主持人提出的问题,各组一般都要进行必答和抢答,对必答一般有时间限制,到时有声响提示;对于抢答,要判定哪组先按键,为了公正,这就要有一种逻辑电路抢答器作为裁判员,一般抢答器由很多门电路组成,线路复杂,可靠性低,特别是抢答路数增多时,实现

3、起来就更加困难。本文介绍了一种利用数字电路实现的抢答系统,具有很强的实用性。数字抢答器由主体电路与扩展电路组成。优先编码器、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制器和主持人开关启动报警电路,以上两部分组成主体电路。抢答器具有数据锁存和显示功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在数码显示器上显示选手编号,同时扬声器给出提示音;同时所存输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。抢答器具有定时抢答的功能,且一次抢答时间为10秒。当主持人启动“开始”按钮后,要求定时器立即进行加计时,并用显示器显示通过定时电路和译码电路将秒脉冲产生

4、的信号在显示器上输出实现计时功能,构成扩展电路。参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止;如果定时抢答时间已到,而没有选手抢答时,本次抢答无效,并封锁输入电路,禁止选手超时后抢答,定时显示器上显示9并保持。经过布线、焊接、调试等工作后数字抢答器完成。第1章 总体方案的设计1.1 任务要求(1)4名选手编号为:K1,K2,K3,K4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。(2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。(3)抢答器具有数据锁存和

5、显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。(4)抢答器具有定时(10秒)抢答的功能。当主持人按下开始按钮后,定时器开始计时,定时显示器显示计时间间,若无人抢答,计时结束时,扬声器响,音响持续1秒。参赛选手在设定时间(10秒)内抢答有效,抢答成功,扬声器响,音响持续1秒,同时定时器停止计时,抢答显示器上显示选手的编号,定时显示器上显示抢答时间,并保持到主持人将系统清零为止。(5)如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报

6、警(音响持续1秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示9。(6)可用石英晶体振荡器或者555定时器产生频率为1Hz的脉冲信号,作为定时计数器的CP信号。1.2 总体电路框图当主持人宣布开始,定时电路开始秒脉冲电路的作用而进行倒记时,并通过译码器在显示器中显示。报警电路给出声音提示。当选手首先按某一开关键时,可通过触发锁存电路被触发并锁存,在输出端产生相应的开关电平信息,同时为防止其它开关随后触发而产生紊乱,最先产生的输出电平变化又反过来将触发电路锁定。然后在译码器中译码,将触发器输出的数据转换为数码管需要的逻辑状态。最后在显示电路中显示出所按键选手的号码。若有多个开关同时按

7、下时,则在它们之间存在着随机竞争的问题,结果可能是它们中的任一个产生有效输出。如图1-1开关阵列触发器锁存电路译码电路主持人控制开关控制电路报警电路秒脉冲产生电路定时电路译码电路显示电路显示电路 图1-1系统框图第2章 单元电路的设计2.1 抢答电路抢答电路包括:开关阵列电路 K1K4、编码器 74LS148、译码器 4511BP_5V、七段字符显示器;开关阵列电路 K1space开关阵列用于选手抢答和主持人控制抢答开关作用,当主持人关闭抢答时即电路接低电平时,其余四组抢答开关无效,并且主持人开关具有清零上一次抢答结果的作用;当主持人开启抢答器时,其余四组开关开始起抢答控制器作用即开始抢答;如

8、图2-1-1所示为开关阵列。图2-1-1 开关阵列电路2.1.2 优先编码器 74LS14874LS148为8线3线优先编码器,图2-1-2为其管脚,表2-1为其真值表。图2-1-2 74LS148管脚图表2-1 74LS148 8线3线二进制编码器真值表 74LS148工作原理如下: 该编码器有8个信号输入端,3个二进制码输出端。此外,电路还设置了输入使能端EI,输出使能端EO和优先编码工作状态标志GS。 当EI=0时,编码器工作;而当EI=1时,则不论8个输入端为何种状态,3个输出端均为高电平,且优先标志端和输出使能端均为高电平,编码器处于非工作状态。这种情况被称为输入低电平有效,输出也为

9、低电来有效的情况。当EI为0,且至少有一个输入端有编码请求信号(逻辑0)时,优先编码工作状态标志GS为0。表明编码器处于工作状态,否则为1。由功能表可知,在8个输入端均无低电平输入信号和只有输入0端(优先级别最低位)有低电平输入时,A2A1A0均为111,出现了输入条件不同而输出代码相同的情况,这可由GS的状态加以区别,当GS1时,表示8个输入端均无低电平输入,此时A2A1A0=111为非编码输出;GS0时,A2A1A0=111表示响应输入0端为低电平时的输出代码(编码输出)。EO只有在EI为0,且所有输入端都为1时,输出为0,它可与另一片同样器件的EI连接,以便组成更多输入端的优先编码器。

10、从功能表不难看出,输入优先级别的次为7,6,0。输入有效信号为低电平,当某一输入端有低电平输入,且比它优先级别高的输入端无低电平输入时,输出端才输出相对应的输入端的代码。例如5为0。且优先级别比它高的输入6和输入7均为1时,输出代码为010,这就是优先编码器的工作原理。2.1.3 CD4511引脚图图2-1-3 CD4511其功能介绍如下:BI:4脚是消隐输入控制端,当BI=0 时,不管其它输入端状态如何,七段数码管均处于熄灭(消隐)状态,不显示数字。LT:3脚是测试输入端,当BI=1,LT=0 时,译码输出全为1,不管输入状态如何,七段均发亮,显示“8”。它主要用来检测数码管是否损坏。LE:

11、5脚是锁定控制端,当LE=0时,允许译码输出。 LE=1时译码器是锁定保持状态,译码器输出被保持在LE=0时的数值。A1、A2、A3、A4、为8421BCD码输入端。a、b、c、d、e、f、g:为译码输出端,输出为高电平1有效。表2-2 CD4511的逻辑功能表输入输出显示字符LEBI非LT非A4A3A2A1gfedcba011111118010000000灭111不变维持0110000011111100110001000011010110010101101120110011100111130110100110011040110101110110150110110111110160110111

12、0000111701110001111111801100111011111901110100000000灭01111100000000灭2.1.3 七段数码显示管图2-1-4 七段数码显示管7段数码管是由7个独立的二极管采用共阴或共阳的方法连接而成。通常将这7个独立的二极管做成a、b、c、d、e、f、g这7个笔划。通过一个7位的二进制电平信号就可以显示出想要的结果。例如,点亮二极管b、c,数码管将会显示数字1,点亮a、b、c、d、e、f、g,数码管将会显示数字0。所以,数码管的显示需要有7根连线。每个数字对应的二进制码如表2-2所示。表2-3 七段数码显示器功能表显 示 数 字abcdefg0

13、1111110101100002110110131111001401100115101101160011111711100008111111190001101 本电路是采用共阴极LED数码管,输入高电平有效,其公共端接地。2.2 计时器电路计时器电路包括:计数器74LS160加法计数器(四位二进制)、译码器4511BP_5V、七段字符显示器;2.2.1 计数器74LS160加法计数器(四位二进制)图2-2-1 74LS160管脚图各种管脚的功能如下:16号管脚:VCC:接5伏电压 15号管脚:CO 进位输出 1411号管脚:信号输出 10号管脚:CTT 9号管脚:置数端 8号管脚:GND 接地

14、 7号管脚:CTP 63号管脚:信号输入 2号管脚:接CP1号管脚为异步清零端,当CR非=0时,Q0Q3均为0。10号管脚LD非(低电平有效)为置入控制端,当CR非=1、LD非=0时,在CP时钟的上升沿作用下,外加输入数据D0D3同时置入,及Q0=D0,Q1=D1,Q2=D2,Q3=D3。CTP,CTT为计数控制信号,在CR非=1,LD非=1的情况下,CTP=1,CTT=1完成4为二进制加法计数;CTT=0,CTP=1时,电路中各级触发器的状态处于保持状态,而输出CO=0;CTT=1,CTP=0时电路各级触发器均处于保持。表2-3 74LS160逻辑功能表输入输出CLKCLRLOADENPEN

15、TDCBAQDQCQBQA0000010DCBADCBA110保持110保持 1111计数2.2.2 其它元件译码器4511BP_5V、七段数码显示器同2.1.3译码器 4511BP_5V、2.1.4七段字符显示器。2.3 报警电路报警电路包括:分频器(两个74LS00组成)、蜂鸣器BUZZER;分频器(两个74LS00组成)分频器主要用于降低多谐振荡器产生的秒脉冲信号使蜂鸣器获得低电平从而发出报警音,图2-3-1为由两个74LS00组成的分频器。图2-3-1分频器蜂鸣器BUZZER蜂鸣器主要用于产生报警信号,在本设计中采用低电平报警器,在选手开始抢答时,一旦某选手率先抢答成功就发出提示音,抢

16、答时间结束(显示器显示9时)蜂鸣器发出提示音,图2-3-2所示为该蜂鸣器图2-3-2 蜂鸣器2.4 振荡电路555定时器是一种多功能的中规模集成器件,它的结构比较复杂,在定时器内部,一般包含20多只晶体管,10多个电阻和数值电容。本系统需要产生频率为500KHZ的脉冲信号,用于为161道计数提供CP信号,及频率为1HZ信号用于计时电路。以上电路可用555定时器组成,如图2-4-1图2-4-1脉冲振荡电路2.4.1 555_VIRTUAL芯片图2-4-1 555_VIRTUAL芯片管脚图555芯片的VCC和GND端分别为电源端和接地端,OUT为输出端,输出时钟脉冲信号,RST为复位端,低电平有效

17、;CON为电压控制端,当不用时,为提高电路的稳定性,常把其经一0.01uF的电容接地;THR为高电平触发端;DIS为放电端,也可以作为集电极开路输出端使用;TRI为低电平触发端。第3章 整机电路的工作原理本电路由三大部分组成:抢答电路、计时电路、报警电路。其中抢答电路主要由锁存器74LS175、优先编码器74LS148、译码器4511BP_5V(即CD4511);抢答电路:通过J5开关主持人控制CLR控制锁存器,当主持人开关打在高电平1时,抢答开始,K1、K2、K3、K4四位选手按下抢答开关.下面以K2选手率先抢答为例:主持人key=space按下开关开始抢答,K2号选手率先按下抢答开关,抢答

18、信号输入以高电平信号表示,这里视为1当高电平信号号传输到锁存器74LS175时,2Q脚输出高电平,输入编码器74LS148,该数据编码经过编码器编码后输出三位编码代码,然后经过非门电路转换后经由译码器CD4511译码为抢答成功选手的代码,并在七段数码显示器上显示该号码。同时在主持人按下开始开关时,输入信号经由锁存器传输到与非门电路转变为低电平信号0,然后经过非门电路转换为高电平信号1,从而触发计数器74LS160开始计数,计数代码经过译码器CD4511译码为0-9的加计时数码,并在多谐振荡脉冲电路的脉冲信号作用下呈现递增输出0-9十个数;在计数电路作用的同时报警电路接收到由抢答电路转换传输过来

19、的选手抢答信号,并在信号到来时发出报警音,报警器为低电平报警器,由多谐振荡电路提供脉冲信号,并经过分频器分频出低电平信号,从而发出报警音;并且反馈一个抢答完成信号到锁存器锁存端CLK锁存显示的选手编码,并阻止其他选手抢答。当无人抢答时:主持人按下开关开始抢答,在没有选手抢答时抢答电路处于未触发状态;抢答器显示器不现实数据。主持人按下开关锁存器74LS175传出一个信号到74LS20然后经过74LS00转换后传输到计时器74LS160开始编码计时,并将编码信号传输到译码器451BP_5V然后在显示器屏幕上显示0-9的加计时,多谐振荡电路输出一个cp脉冲信号到74LS160使其开始脉冲编码,产生计

20、时编码;当显示器显示9时另一个多谐振荡电路迅速作用产生信号提供给锁存器74LS1745使其锁存代码,关闭抢答电路,是所有选手无法抢答,同时多谐振荡电路产生的信号为低电平报警器提供报警信源,报警器开始报警,提示选手已经无法抢答。该抢答器主要具有抢答超时报警,编码锁存,计时显示等功能。第4章 系统仿真与调试4.1 multisim系统介绍Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力,如图4-1为multisim10的启动界面。图4-1启动界

21、面软件以图形界面为主,采用菜单、工具栏和热键相结合的方式,具有一般Windows应用软件的界面风格,用户可以根据自己的习惯和熟悉程度自如使用。 Multisim的主窗口界面。 启动Multisim 10后,将出现如图4-2所示的界面。图4-2multisim10主界面界面由多个区域构成:菜单栏,各种工具栏,电路输入窗口,状态条,列表框等。通过对各部分的操作可以实现电路图的输入、编辑,并根据需要对电路进行相应的观测和分析。用户可以通过菜单或工具栏改变主窗口的视图内容。 4.1.1 菜单栏 菜单栏位于界面的上方,通过菜单可以对Multisim的所有功能进行操作。 不难看出菜单中有一些与大多数Win

22、dows平台上的应用软件一致的功能选项,如File,Edit,View,Options,Help。此外,还有一些EDA软件专用的选项,如Place,Simulation,Transfer以及Tool等。 1. File File菜单中包含了对文件和项目的基本操作以及打印等命令。 命令 功能 New 建立新文件 Open 打开文件 Close 关闭当前文件 Save 保存 Save As 另存为 New Project 建立新项目 Open Project 打开项目 Save Project 保存当前项目 Close Project 关闭项目 Version Control 版本管理 Print

23、 Circuit 打印电路 Print Report 打印报表 Print Instrument 打印仪表 Recent Files 最近编辑过的文件 Recent Project 最近编辑过的项目 Exit 退出Multisim 2. Edit Edit命令提供了类似于图形编辑软件的基本编辑功能,用于对电路图进行编辑。 命令 功能 Undo 撤消编辑 Cut 剪切 Copy 复制 Paste 粘贴 Delete 删除 Select All 全选 Flip Horizontal 将所选的元件左右翻转 Flip Vertical 将所选的元件上下翻转 90 ClockWise 将所选的元件顺时针

24、90度旋转 90 ClockWiseCW 将所选的元件逆时针90度旋转 Component Properties 元器件属性 3View 通过View菜单可以决定使用软件时的视图,对一些工具栏和窗口进行控制。 命令 功能 Toolbars 显示工具栏 Component Bars 显示元器件栏 Status Bars 显示状态栏 Show Simulation Error Log/Audit Trail 显示仿真错误记录信息窗口 Show XSpice Command Line Interface 显示Xspice命令窗口 Show Grapher 显示波形窗口 Show Simulate S

25、witch 显示仿真开关 Show Grid 显示栅格 Show Page Bounds 显示页边界 Show Title Block and Border 显示标题栏和图框 Zoom In 放大显示 Zoom Out 缩小显示 Find 查找 4Place 通过Place命令输入电路图。 命令 功能 Place Component 放置元器件 Place Junction 放置连接点 Place Bus 放置总线 Place Input/Output 放置输入/出接口 Place Hierarchical Block 放置层次模块 Place Text 放置文字 Place Text Des

26、cription Box 打开电路图描述窗口,编辑电路图描述文字 Replace Component 重新选择元器件替代当前选中的元器件 Place as Subcircuit 放置子电路 Replace by Subcircuit 重新选择子电路替代当前选中的子电路 5Simulate 通过Simulate菜单执行仿真分析命令。 命令 功能 Run 执行仿真 Pause 暂停仿真 Default Instrument Settings 设置仪表的预置值 Digital Simulation Settings 设定数字仿真参数 Instruments 选用仪表(也可通过工具栏选择) Analy

27、ses 选用各项分析功能 Postprocess 启用后处理 VHDL Simulation 进行VHDL仿真 Auto Fault Option 自动设置故障选项 Global Component Tolerances 设置所有器件的误差 6Transfer菜单 Transfer菜单提供的命令可以完成Multisim对其它EDA软件需要的文件格式的输出。 命令 功能 Transfer to Ultiboard 将所设计的电路图转换为Ultiboard(Multisim中的电路板设计软件)的文件格式 Transfer to other PCB Layout 将所设计的电路图以其他电路板设计软件

28、所支持的文件格式 Backannotate From Ultiboard 将在Ultiboard中所作的修改标记到正在编辑的电路中 Export Simulation Results to MathCAD 将仿真结果输出到MathCAD Export Simulation Results to Excel 将仿真结果输出到Excel Export Netlist 输出电路网表文件 7Tools Tools菜单主要针对元器件的编辑与管理的命令。 命令 功能 Create Components 新建元器件 Edit Components 编辑元器件 Copy Components 复制元器件 De

29、lete Component 删除元器件 Database Management 启动元器件数据库管理器,进行数据库的编辑管理工作 Update Component 更新元器件 8Options 通过Option菜单可以对软件的运行环境进行定制和设置。 命令 功能 Preference 设置操作环境 Modify Title Block 编辑标题栏 Simplified Version 设置简化版本 Global Restrictions 设定软件整体环境参数 Circuit Restrictions 设定编辑电路的环境参数 9Help Help菜单提供了对Multisim的在线帮助和辅助说明

30、。 命令 功能 Multisim Help Multisim的在线帮助 Multisim Reference Multisim的参考文献 Release Note Multisim的发行申明 About Multisim Multisim的版本说明 4.1.2 工具栏 Multisim 2001提供了多种工具栏,并以层次化的模式加以管理,用户可以通过View菜单中的选项方便地将顶层的工具栏打开或关闭,再通过顶层工具栏中的按钮来管理和控制下层的工具栏。通过工具栏,用户可以方便直接地使用软件的各项功能。 顶层的工具栏有:Standard工具栏、Design工具栏、Zoom工具栏,Simulatio

31、n工具栏。 1Standard工具栏包含了常见的文件操作和编辑操作,如下图所示: 2Design工具栏作为设计工具栏是Multisim的核心工具栏,通过对该工作栏按钮的操作可以完成对电路从设计到分析的全部工作,其中的按钮可以直接开关下层的工具栏:Component中的Multisim Master工具栏,Instrument工具栏。 (1)作为元器件(Component)工具栏中的一项,可以在Design工具栏中通过按钮来开关Multisim Master工具栏。该工具栏有14个按钮,每个每一个按钮都对应一类元器件,其分类方式和Multisim元器件数据库中的分类相对应,通过按钮上图标就可大致

32、清楚该类元器件的类型。具体的内容可以从Multisim的在线文档中获取。 这个工具栏作为元器件的顶层工具栏,每一个按钮又可以开关下层的工具栏,下层工具栏是对该类元器件更细致的分类工具栏。以第一个按钮 为例。通过这个按钮可以开关电源和信号源类的Sources工具栏如下图所示: (2)Instruments工具栏集中了Multisim为用户提供的所有虚拟仪器仪表,用户可以通过按钮选择自己需要的仪器对电路进行观测。 3用户可以通过Zoom工具栏方便地调整所编辑电路的视图大小。 4Simulation工具栏可以控制电路仿真的开始、结束和暂停。 4.2 电路仿真4.2.1 电路仿真图图4-2-1抢答未开

33、始图4-2-2抢答成功图4-2-3抢答超时第5章 实物制作5.1 制作过程电路图绘制系统仿真元器件领取并检测元器件规则的分布在万用板上元器件焊接检查调试5.2 元器件表器件(见附录2)5.3 器件插放及焊接工艺5.3.1 器件插放一、引脚成形方法和技术要求 1手工整形 手工整形工具主要有镊子和尖嘴钳,基本步骤及如图所示。 2专用整形设备 在 大批量生产中,引脚成形全是用专用设备来完成的,如集成电路引脚成形专用设备、电阻引脚成形专用设备等。3引脚成形的技术要求 (1) 引脚成形后,元器件本身不能受伤,不可以出现模印、压痕和裂纹。 (2) 引脚成形后,引脚直径的减小或变形不可以超过原来的10% 。

34、 (3) 若引脚上有焊点,则在焊点和元器件之间不准有弯曲点,焊点到弯曲点之间应保持2mm 以上的间距。 (4) 通常各种元器件的引脚尺寸都有不同的基本要求。 二、 导线和屏蔽线端头处理 1导线的种类 常用连接导线有三类:单股线、多股线和屏蔽线( 同轴电缆) ,其外形如图所示。 2单股线与多股线的处理方法 单股线与多股线的处理步骤及如图所示。 3屏蔽线端头的处理方法 屏蔽线端头的处理步骤及如图所示。 三、 元器件成形、导线处理注意事项1安装方式 电子元器件成形的安装方式图示及说明如图所示。 2标记朝向 引脚成形、安装以后,元器件的标记朝向如图 所示。 3引脚弯折处理 当安装、焊接固定元件时,为防

35、止元件掉出来应折弯引脚,并且要注意整形效果。具体操作如图3 所示。4.安装时注意事宜在安装时,不要用手直接触碰元器件引脚和印制板上的焊盘。5.3.2 PCB芯片封装的焊接方法及工艺流程板上芯片封装(COB),半导体芯片交接贴装在印刷线路板上,芯片与基板的电气连接用引线缝合方法实现,芯片与基板的电气连接用引线缝合方法实现,并用树脂覆盖以确保可靠性。虽然COB是最简单的裸芯片贴装技术,但它的封装密度远不如TAB和倒片焊技术。 板上芯片(ChipOnBoard,COB)工艺过程首先是在基底表面用导热环氧树脂(一般用掺银颗粒的环氧树脂)覆盖硅片安放点,然后将硅片直接安放在基底表面,热处理至硅片牢固地固

36、定在基底为止,随后再用丝焊的方法在硅片和基底之间直接建立电气连接。 与其它封装技术相比,COB技术价格低廉(仅为同芯片的1/3左右)、节约空间、工艺成熟。但任何新技术在刚出现时都不可能十全十美,COB技术也存在着需要另配焊接机及封装机、有时速度跟不上以及PCB贴片对环境要求更为严格和无法维修等缺点。 某些板上芯片(CoB)的布局可以改善IC信号性能,因为它们去掉了大部分或全部封装,也就是去掉了大部分或全部寄生器件。然而,伴随着这些技术,可能存在一些性能问题。在所有这些设计中,由于有引线框架片或BGA标志,衬底可能不会很好地连接到VCC或地。可能存在的问题包括热膨胀系数(CTE)问题以及不良的衬

37、底连接。 COB主要的焊接方法: (1)热压焊 利用加热和加压力使金属丝与焊区压焊在一起。其原理是通过加热和加压力,使焊区(如AI)发生塑性形变同时破坏压焊界面上的氧化层,从而使原子间产生吸引力达到“键合”的目的,此外,两金属界面不平整加热加压时可使上下的金属相互镶嵌。此技术一般用为玻璃板上芯片COG。 (2)超声焊 超声焊是利用超声波发生器产生的能量,通过换能器在超高频的磁场感应下,迅速伸缩产生弹性振动,使劈刀相应振动,同时在劈刀上施加一定的压力,于是劈刀在这两种力的共同作用下,带动AI丝在被焊区的金属化层如(AI膜)表面迅速摩擦,使AI丝和AI膜表面产生塑性变形,这种形变也破坏了AI层界面

38、的氧化层,使两个纯净的金属表面紧密接触达到原子间的结合,从而形成焊接。主要焊接材料为铝线焊头,一般为楔形。 (3)金丝焊 球焊在引线键合中是最具代表性的焊接技术,因为现在的半导体封装二、三极管封装都采用AU线球焊。而且它操作方便、灵活、焊点牢固(直径为25UM的AU丝的焊接强度一般为0.070.09N/点),又无方向性,焊接速度可高达15点/秒以上。金丝焊也叫热(压)(超)声焊主要键合材料为金(AU)线焊头为球形故为球焊。 COB封装流程 第一步:扩晶。采用扩张机将厂商提供的整张LED晶片薄膜均匀扩张,使附着在薄膜表面紧密排列的LED晶粒拉开,便于刺晶。 第二步:背胶。将扩好晶的扩晶环放在已刮

39、好银浆层的背胶机面上,背上银浆。点银浆。适用于散装LED芯片。采用点胶机将适量的银浆点在PC印刷线路板上。 第三步:将备好银浆的扩晶环放入刺晶架中,由操作员在显微镜下将LED晶片用刺晶笔刺在PCB印刷线路板上。 第四步:将刺好晶的PCB印刷线路板放入热循环烘箱中恒温静置一段时间,待银浆固化后取出(不可久置,不然LED芯片镀层会烤黄,即氧化,给邦定造成困难)。如果有LED芯片邦定,则需要以上几个步骤;如果只有IC芯片邦定则取消以上步骤。 第五步:粘芯片。用点胶机在PCB印刷线路板的IC位置上适量的红胶(或黑胶),再用防静电设备(真空吸笔或子)将IC裸片正确放在红胶或黑胶上。 第六步:烘干。将粘好

40、裸片放入热循环烘箱中放在大平面加热板上恒温静置一段时间,也可以自然固化(时间较长)。 第七步:邦定(打线)。采用铝丝焊线机将晶片(LED晶粒或IC芯片)与PCB板上对应的焊盘铝丝进行桥接,即COB的内引线焊接。 第八步:前测。使用专用检测工具(按不同用途的COB有不同的设备,简单的就是高精密度稳压电源)检测COB板,将不合格的板子重新返修。 第九步:点胶。采用点胶机将调配好的AB胶适量地点到邦定好的LED晶粒上,IC则用黑胶封装,然后根据客户要求进行外观封装。 第十步:固化。将封好胶的PCB印刷线路板放入热循环烘箱中恒温静置,根据要求可设定不同的烘干时间。 第十一步:后测。将封装好的PCB印刷

41、线路板再用专用的检测工具进行电气性能测试,区分好坏优劣。5.3.2 元器件焊接工艺要求1 、焊前准备首先要熟悉所焊印制电路板的装配图,并按图纸配料,检查元器件型号、规格及数量是否符合图纸要求,并做好装配前元器件引线成型等准备工作。2 、焊接顺序元器件装焊顺序依次为:电阻器、电容器、二极管、三极管、集成电路、大功率管,其它元器件为先小后大。3 、对元器件焊接要求1 )电阻器焊接按图将电阻器准确装人规定位置。要求标记向上,字向一致。装完同一种规格后再装另一种规格,尽量使电阻器的高低一致。焊完后将露在印制电路板表面多余引脚齐根剪去。2 )电容器焊接将电容器按图装人规定位置,并注意有极性电容器其 “

42、” 与 “ ” 极不能接错,电容器上的标记方向要易看可见。先装玻璃釉电容器、有机介质电容器、瓷介电容器,最后装电解电容器。3 )二极管的焊接二极管焊接要注意以下几点:第一,注意阳极阴极的极性,不能装错;第二,型号标记要易看可见;第三,焊接立式二极管时,对最短引线焊接时间不能超过 2S 。4 )三极管焊接注意 e 、 b 、 c 三引线位置插接正确;焊接时间尽可能短,焊接时用镊子夹住引线脚,以利散热。焊接大功率三极管时,若需加装散热片,应将接触面平整、打磨光滑后再紧固,若要求加垫绝缘薄膜时,切勿忘记加薄膜。管脚与电路板上需连接时,要用塑料导线。5 )集成电路焊接首先按图纸要求,检查型号、引脚位置是否符合要求。焊接时先焊边沿的二只引脚,以使其定位,然后再从左到右自上而下逐个焊接。对于电容器、二极管、三极管露在印制电路板面上多余引脚均需齐根剪去。总 结经过一学期的学习,对于数字电路的了解更加深入一层,数字电子技术在现代通讯中占有重要的份额,作为通讯类的学生,我们应该深入掌握数字通讯原理,学会设计数字电路来达到一定的实际目的。这学期,我们的设计课程名为“四人智力抢答器的设计与制作”,虽然只是一个很小的课程设计,但是我们还是很认真的对待它,四人抢答器电路是很简单的一个数字功能电路,具有抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 小学资料

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com