课程设计洗衣机控制器(共19页).doc

上传人:飞****2 文档编号:14241476 上传时间:2022-05-03 格式:DOC 页数:19 大小:677KB
返回 下载 相关 举报
课程设计洗衣机控制器(共19页).doc_第1页
第1页 / 共19页
课程设计洗衣机控制器(共19页).doc_第2页
第2页 / 共19页
点击查看更多>>
资源描述

《课程设计洗衣机控制器(共19页).doc》由会员分享,可在线阅读,更多相关《课程设计洗衣机控制器(共19页).doc(19页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、精选优质文档-倾情为你奉上东 北 石 油 大学课 程 设 计课 程 EDA技术课程设计 题 目 洗衣机控制器 院 系 电子科学学院 专业班级 电子信息工程 学生姓名 学生学号 指导教师 2014年 3 月日专心-专注-专业东北石油大学课程设计任务书课程 EDA技术课程设计题目 洗衣机控制器专业 电子信息工程 姓名 学号 主要内容、基本要求、主要参考资料等主要内容: 设计一个洗衣机控制器,要求洗衣机有正转、反转、暂停三种状态。设定洗衣机的工作时间,要洗衣机在工作时间内完成:定时启动正转20秒暂停1秒反转0秒暂停10秒定时未到回到“正转20秒暂停10秒”,定时到则停止,同时发出提示音。基本要求:、

2、设计一个电子定时器,控制洗衣机作如下运转:定时启动正转20秒暂停0秒反转20秒暂停10秒定时未到回到“正转20秒暂停0秒”,定时到则停止;2、若定时到,则停机发出音响信号;3、用两个数码管显示洗涤的预置时间(分钟数),按倒计时方式对洗涤过程作计时显示,直到时间到停机;洗涤过程由“开始”信号开始;4、三只LE灯表示“正转”、“反转”、“暂停”三个状态。主要参考资料:1 潘松著.EDA技术实用教程(第二版).北京:科学出版社,2005.2 康华光主编.电子技术基础 模拟部分 北京:高教出版社,206.3 阎石主编.数字电子技术基础. 北京:高教出版社,2完成期限 243.7 指导教师 专业负责人

3、01年 3月3日一、设计思想.基本原理洗衣机控制器的设计主要是定时器的设计。由一片FPGA和外围电路构成了电器控制部分。FPGA接收键盘的控制命令,控制洗衣机的进水、排水、水位和洗衣机的工作状态、并控制显示工作状态以及设定直流电机速度、正反转控制、制动控制、起停控制和运动状态控制。对芯片的编程采用模块化的VHD(硬件描述语言)进行设计,设计分为三层实现,顶层实现整个芯片的功能。顶层和中间层多数是由VHDL的元件例化语句实现。中间层由无刷直流电机控制、运行模式选择、洗涤模式选择、定时器、显示控制、键盘扫描、水位控制以及对直流电机控制板进行速度设定、正反转控制、启停控制等模块组成,它们分别调用底层

4、模块。定时到2设计框图停止暂停10s反转20s暂停10s正转20s定时启动定时没到图1 设计框图用两位数码管预置洗涤时间(分钟数),洗涤过程在送入预置时间后开始运转,洗涤中按倒计时方式对洗涤过程作计时显示,用LED表示电动机的正、反转,如果定时时间到,则停机并发出音响信号。二、设计步骤和调试过程1、模块设计和相应模块代码洗衣机控制器电路主要有五大部分组成,包括:减法计数器、时序控制电路、预置时间和编码电路、数码管显示、译码器组成。()预设时间和编码电路:本模块将输入的四位时间信号编码成八位二进制数输出到减法计数器电路。lirayiee;useieee.stdogic_.a;useiee.td_

5、logic_unsiged.all;nityetimeisort(lad:intd_logic;tim_nput:istd_loic_vctor(dwnt);i_st:outstd_lgic_veco(7dnto0);endsttime;chicturetimeofettime inalp1:std_ogiec(7dwnt0);ben roes(load)bgi if(loventandload=1)then casetim_inputi when0000=p1p1p1=;wen0011=p1pp1p1=;whn111=p1=;when100=p1p1=;edcas;enf;dprocesst

6、imset=p1;endettime;图预设时间和编码仿真用K1、K、K3、K4给imenut输入一个二进制数111,让lad有效,输出time_t为。()减法计数器模块:由于洗衣机有工作时间,必须要一模块来控制它的工作时间范围,当洗衣机开始工作后,减法计数器即会实现减数功能,直到时间减到零,洗衣机便停止工作。当出现系统运行结束信号teover时,蜂鸣器报警洗衣机工作结束。ibayiee;ueieee.d_ogic164.a;usieeestd_lg_unsine.al;entiycourprt(clk,start:insd_gic;tm_set:std_logic_vetr(7downto)

7、;tim_rman:buffersdlogic_vto(7downo);time_r:bufer_logic);endcounter;rchitctreouterfoneriegprcess(ck)arableime_son:integrrnge0to59:=59;beinf(ckevenanclk=1) th if(tat0)thenif(tie_remai(dnto0)=0)thtimeeman=tim_se;elstm_eain(7doo)=time_rmin(3ownto0);time_emain(3downto0)=me_set(dowto0);ndf;timesecod:=59;t

8、im_oer=1;lsei(ie_over=1)the f(esecod=ndtim_remain(7owto0)=)tnie_over=0;eli(ieseon)heni(tm_remain(3wto)=0)thentime_rmain(7dono)=timeremai(dowto4)-1;me_emin(3downo0)=101;time_secon:5;lsetime_en(dowto4)tme_remain(donto4); time_remain(3dowt0)=ime_rmi(3downt0)1;time_seond:=59; edif;elsime_secd:=iesond-1;

9、endi;dif;endif;endif;endif;ndpess;endcoute;图3减法计数器模块源仿真(3)数码管显示模块:根据课程设计要求,必须将洗衣机的工作状态及工作时间在数码管和指示灯上显示出来,此模块是用来控制洗衣机的工作状态及工作的频率,并把工作状态及工作时间显示出来。a,b,,d,g分别对应数码管的七段,minute和scond分别位选两个数码管,显示十位和个位。librieee;useee.tdlogic_14.al;useieee.std_logic_unsned.all;eswimeist(m_reman:itd_li_vecr(7wo0);lk:instd_logi

10、c;minute,end:outtdlogc;,b,c,d,g:outstloc);ndshotime;architetureshotimefowtmeissinatemp:td_logicvector(downo);sgnabc:stdlogic_vector(3downto0);gnalhoos:std_logi;ginpoces(clk)iif(ckvntndclk=1)thnchoose=nochoose;if(choose=1)thenminu=0;second=1;cdtime_man(7onto);elseinuttepteptemtemptemptemptemp=;whn100

11、0=tp;whn100=tmtem=;endcase;a=temp();=tem(5);ctemp(4);d=tmp();etemp(2);tep(1);gtemp(0)enproessendhotim图4数码管模块仿真(4)时序电路模块:接收运行起止信号,安排电机运行状态并编码输出ibraryie;eiee.std_loic114all;seeeetd_logicunsind.;enityalseisor(clk,start,tie_ver:insdogi;ut_1,out:otsd_lgic);endanalyse;architcturenalysofanlysibginprocss(lk

12、)vriblstte:std_logic;vaiblwash_time:ineger:=;vriabewait_ime:itege:0;begif(ckevtandck=1)thenif(start0)tenwahtime:=0;wit_tme:=;state:=0;out_1=0;out_2=0;elseif(imeove=1)theni(whme=2)theni(wittime=10)thnwas_time:0;at:=ntae;eaite:wait_ti+1;endi;lswh_im:=wsh_time1;wait_time:=;endf;df;if(wash_tm20)thenou1=

13、0;ut0;elsif(se=)thenout_11;ut_2=0;leout_=0;ou_2=1;d;endif;endif;ef;ndprocs;danalys;图5时序电路模块仿真:(5)译码器模块:接收电机运行状态信号,译码后实时控制电机的正传、反转和暂停。ibray;seeeestd_loi_114al;entiymoveisprt(out_1,ot2:instd_logic;RE,RU,USE:bufrt_logic);endove;rctecuremveofoveissigalchoe:std_lc_vetor(1donto);eginhoose(1)REV=0;U0;PAUSE

14、1;when10=V=;UNV1;RUNV=0;RUN0;PAUSE;edase;V=out_2;R=ou_;PAUS暂停=反转=暂停为周期进行循环,一个周期正好费时一分钟,一个周期结束,数码管显示减一,依次循环,直至数码管显示时间为零,洗衣结束。图7总体仿真、实验调试结果电路设计完成以后,按照预定设计,输入相应数据,三只LED灯按照设定时间规律间断性亮起,数码管也显示输入时间并按减数计时产生相应的数字显示,直到到达预定时间停止工作显示零,实验设计达到预期效果。三、结论及心得体会通过这次课程设计我对FPGA的编程更加熟悉,对定时器和计数器的设计,让我更加明白时序组合门电路设计思路和方法。而且自

15、已独立思考与设计,使我初步掌握了VHDL的设计方法与一些技巧。通过这个实验设计,我更加熟练地掌握了一些常见的数字芯片的设计方法,在设计中也参考和查阅了很多资料,从中学到不少课本上没有的东西。只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正地更好去理解知识,从而提高自己的实际动手能力和独立思考的能力。参考资料1 潘松著.E技术实用教程(第二版)北京:科学出版社,052 康华光主编.电子技术基础 模拟部分. 北京:高教出版社,2063 阎石主编数字电子技术基础. 北京:高教出版社,2034 谭会生,张昌凡.ED技术及应用.西安:西安电子科技大学出版社,2001. 潘松,黄继业EDA

16、技术实用教程北京:科学出版社,2002.6宋万杰,罗丰,吴顺君PLD技术及其应用.西安:西安电子科技大学出版社,1997张昌凡,龙永红,彭涛.可编程逻辑器件及VHDL设计技术.广州:华南工学院出版社,201东北石油大学课程设计成绩评价表课程名称EDA技术课程设计题目名称洗衣机控制器学生姓名学号指导教师姓名职称序号评价项目指 标满分评分1工作量、工作态度和出勤率按期圆满的完成了规定的任务,难易程度和工作量符合教学要求,工作努力,遵守纪律,出勤率高,工作作风严谨,善于与他人合作。202课程设计质量课程设计选题合理,计算过程简练准确,分析问题思路清晰,结构严谨,文理通顺,撰写规范,图表完备正确。5创新工作中有创新意识,对前人工作有一些改进或有一定应用价值。54答辩能正确回答指导教师所提出的问题。0总分评语:指导教师: 214年 3 月 10 日

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 教案示例

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com